文档库 最新最全的文档下载
当前位置:文档库 › 三态输出触发器及锁存器

三态输出触发器及锁存器

三态输出触发器及锁存器
三态输出触发器及锁存器

实验6 三态输出触发器及锁存器

一、实验目的

1.掌握三态触发器和锁存器的功能及使用方法.

2.学会用三态触发器和锁存器构成的功能电路。

二、实验仪器及材料

1.双踪示波器

2.器件:CD4043 三态输出四R—S触发器一片

74LS75 四位D锁存器一片

三、实验内容

1.锁存器功能及应用

图4.I为74LS75四D锁存器,每两个D锁存器由一个锁存信号G控制,当G为高电平时,输出端Q随输入端D信号的状态变化,当G由高变为低时,Q 锁存在G端由高变低前Q的电平上。

图4.l

(l).验证图4.l锁存器功能,并列出功能状态表。

(2).用74LS75组成数据锁存器

按图27。2接线,1D~4D接逻辑开关作为数据输入端,G1,2和G 3,4 接到一起作为锁存选通信号ST,IQ~4Q分别接到7段译码器的A-D端,

数据输出由数码管显示。

设:逻辑电平H为“l”、L为“0”

ST=l,输入0001,0011,0111,观察数码管显示。

ST=0,输入不同数据,观察输出变化。

2.三态输出触发器功能及应用

4043为三态R-S触发器,其包含有4个R-S触发器单元,输出端均用CMOS传输门对输出状态施加控制。当传输门截止时,电路输出呈

“三态”,即高阻状态。管脚排列见图4.3。

(1).三态输出R-S触发器功能测试

验证RS触发器功能,并列出功能表。

注意:(a).不用的输入端必须接地,输出端可悬空。

(b).注意判别高阻状态,参考方法:输出端为高阻状态时用万用

表电压档测量电压为零,用电阻档测量电阻为无穷大

第5章锁存器和触发器

锁存器和触发器 1.分析图1所示电路的功能,列出功能表。 图1 2.若图2 a所示电路的初始状态为Q = 1,E、S、R端的输入信号如图2 b 所示,试画出相应Q和Q端的波形。 S G3 Q Q E R S (a) (b) 图2 3.试用1片八D锁存器74HC373设计一个能锁存两位BCD码信号的锁存电路。假定三态输出使能端OE=0,锁存器原输出Q7Q6Q5Q4Q3Q2Q1Q0=10010100(94D),而输入为D7D6D5D4D3D2D1D0=10010101(95D),画出锁存器锁存新数据前、后使能端LE应输入的波形和相应Q 的波形。 4.触发器的逻辑电路如图4所示,确定其应属于何种电路结构的触发器。

9 Q Q 图4 5.上升沿触发和下降沿触发的D触发器逻辑符号及时钟信号CP (CP)和D 的波形如图5所示。分别画出它们的Q端波形。设触发器的初始状态为0。 D D D CP(CP) 图5 6.设下降沿触发的JK触发器初始状态为0,CP、J、K信号如图6所示,试画出触发器Q端的输出波形。 J K 图6 7.逻辑电路如图7所示,试画出在CP作用下,φ0、φ1、φ2和φ3的波形。

图7 8.电路如图 8所示,设各触发器的初态为 0,画出在CP脉冲作用下Q端波 形。 Q1 Q1 3 Q3 4 Q4 CP (a) (b) (c) (d) 图8 9.逻辑电路如图9所示,已知CP和X 的波形,试画出Q1和Q2的波形。触发 器的初始状态均为0。 X 1 X 图9 10.两相脉冲产生电路如图10所示,试画出在CP作用下φ1、φ2的波形,并 说明φ1和φ2的时间关系。各触发器的初始状态为0。

触发器是数字电路中的一种

触发器是数字电路中的一种基本单元

触发器是数字电路中的一种基本单元

第5章触发器 5.1 概述 触发器是数字电路中的一种基本单元,它与门电路配合,能构成各种各样的时序逻辑部件,如记数器、寄存器、序列信号发生器等。 一个触发器具有如下的特点: ①两个互补的输出端Q和Q;②“O”和“1”两个稳态; ③触发器翻转的特性;④记忆能力。 1.对触发器的基本要求 1)应该具有两个稳定状态——0状态和1状态 2)能够接收、保存和输出信号 2.触发器的现态和次态 现态——触发器接收输入信号之前的状态叫做现态,用Q n表示。 次态——触发器接收输入信号之后的状态叫做次态,用Q n+1表示。 3.触发器的分类 1)按照电路结构和工作特点分 基本触发器、同步触发器、主从触发器和边沿触发器 2)按照(在时钟控制下的)功能分 RS型触发器、D触发器、JK触发器、T触发器和T′触发器4.时序逻辑电路 组合逻辑电路的特点是 电路的输出仅取决于当时的输入,与电路的历史状态无关。即Z=F(X)。 时序逻辑电路的输出状态不仅与该时刻的输入有关,而且还与电路的历史状态有关。 由现在的输入状态和现在的输出状态共同决定下一次的输出状态。 电路特点 ①输入、输出之间至少有一条反馈路径; ②电路中含有贮存单元。 时序电路的一般结构如图。 X为输入变量; Z为输出变量; Q为触发器的输出,称为状态变量。Q n表示现态,Q n+1 表示次态;状态是时序电路的 输输C 触发 器的 状态 输出 控制 输入

一个重要概念。 W 为触发器的输入,也是时序电路的控制变量;CP 为时钟脉冲。 5.描述时序电路逻辑功能的方法 (1)方程式: ①输出方程:Z =F 1 (X ,Q n ) ②驱动方程:W =F 2 (X ,Q n ) ③状态方程:Q n +1= F 3 (W ,Q n ) (2)状态表 反映输入、输出、现态、次态之间的关系的表格。 (3)状态图 反映时序逻辑电路的状态转换规律及相应输入出取值情况的几何图形。 (4)时序图 表示各信号,电路状态等的取值在时间上的对应关系。 构成时序逻辑电路常用存储单元是触发器。 5.2 基本RS 触发器 5.2.1 由与非门组成 直接置0、置1,是构成各种不同功能触发器的基本单元。 用与非门构成的RS 触发器及逻辑符号如图。 1.功能分析 触发器的状态指Q 端的状态。 (1)R D =0,S D =1,则触发器置0。在R D 端加一 负脉冲(宽度>2t pd ),电路将可靠地翻转为Q =0状 态,并保持下来。 Q =0态,称为“复位状态”。 R D 端称为“复位端”或称直接置0端。 (2)R D =1,S D =0,则触发器置1。在S D 端加一 负脉冲(宽度>2t pd ),电路将可靠地翻转为Q =1状 态,并保持下来。 Q =1态,称为“置位状态”。 S D 端称为“置位端”或称直接置1端。 (3)R D =1,S D =1,则触发器保持原来的状态。 例如: Q =1,Q 、R D 的全1使Q =0,Q 的0又维持了Q 的1,这是触发器的一个稳态。同理,若Q =0,则触发器将保持另一个稳态—0态。 S Q R Q S Re

深刻解析锁存器 触发器区别 以及verilog代码编写中如何避免产生锁存器

彻底理解锁存器,让你不再为锁存器头疼! 锁存器(latch):是电平触发的存储单元,数据存储的动作(状态转换)取决于输入时钟(或者使能)信号的电平值,尽当锁存器处于使能状态时,输出才会随着数据输入发生变化。 分为普通锁存器和门控锁存器,普通锁存器无控制信号,输出状态始终直接由输入决定。在实际的数字系统中,为了协调各部分的工作,往往需要有一个特定的控制信号去控制锁存器状态转换的时间,在控制信号无效时,输出保持不变,不随输入变换;当控制信号有效时,输出由输入决定,跟随输入变化。 基本锁存器 门控锁存器

①正是因为门控锁存器在控制信号有效的期间内,都可以接收输入信号,所以,激励信号的任何变化,都将直接引起锁存器输出状态的改变。这时输入信号若发生多次变化,输出状态也可能发生多次变化,这一现象称为锁存器的空翻。 ②其次,当门控锁存器的控制信号有效时,锁存器就变成了一个组合电路,时序逻辑电路的模型就等效为两个各组合电路互为反馈的反馈系统,因此,系统有可能会因为瞬态特性不稳定而产生振荡现象。 触发器(flip-flop)是边沿敏感的存储单元,数据存储的动作(状态转换)由某一信号的上升或者下降沿进行同步的(限制存储单元状态转换在一个很短的时间内)。(钟控D触发器其实就是D锁存器,边沿D触发器才是真正的D触发器) 触发器分为两种,一种是主从触发器和边沿触发器。主从触发器在时钟有效期内(主触发器)接收数据,在时钟边沿输出状态转换。边沿触发器在时钟边沿期间,触发器才接收数据并使输出状态转换。 主从触发器 目前,主从触发器基本上已经很少见了,实际使用的大都是边沿触发器。 寄存器(register):用来暂时存放参与运算的数据和运算结果。在实际的数字系统中,通常把能够用来存储一组二进制代码的同步时序逻辑电路称为寄存器。 区别与联系:由于触发器内有记忆功能,因此利用触发器可以方便地构成寄存器。由于一个触发器能够存储一位二进制码,所以把n个触发器的时钟端口连接起来就能构成一个存储n位二进制码的寄存器。 从寄存数据的角度来讲,寄存器和锁存器的功能是相同的;它们的区别在于寄存器是同步时钟控制,而锁存器是电位信号控制。

基本RS锁存器和D触发器-器件实验报告

基本RS触发器和D触发器 一、实验目的: 熟悉几种常见触发器的逻辑功能,准确理解触发器特性描述和正确对其逻辑功能进行测试操作。熟练使用示波器来观看触发器的时序图。 二、实验内容: 1.搭接一个基本RS触发器,对其功能进行测试,填写基本RS触发器特性表。 2.对边缘D触发器74 LS74的逻辑功能进行测试,填写D触发器的特性表。 3.用D触发器实现计数功能和分频功能。 4.用4个D触发器设计一个4位的环形计数器。 三、实验条件: 1、硬件基础电学实验箱、双踪示波器、电源。 2、元器件:74LS00、74LS74、74LS175。 四、实验过程: 1、搭接一个基本RS触发器,对其功能进行测试。 a)实验原理: 基本RS触发器是由二个与非门交叉藕合构成的。基本RS触发器具有置"0"、置"1" 和"保持"三种功能。通常称s为置"1"端,因为s=0时触发器被置"1";R为置"0"端,因为R=0时触发器被置"0",当s= R=1时状态保持。基本RS触发器也可以用二个"或非门"组成,此时为高电平触发器。 b) 实验电路图: c)RS触发器特性表:

S R Q n Q n+1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 d)实验现象以及结论: 现象:当s=0时,r=1,结果置1。 当s=1时,r=0,结果置0。 当s=1时,r=1,次态与现态相同,即保持。 当s=0时,r=0,出现不稳定状态,如果连接Q'n+1,可以看到其与Qn+1的值相同,这个状态是要避免的。 结论:用74LS00搭接的基本RS触发器功能正确。 2、对边缘D触发器74 LS74的逻辑功能进行测试。 a)实验内容: i.Clk—>Q的波形(500Khz的时钟信号) ii.D—>Q的波形 iii.Clk—>D的波形(100Khz的时钟信号) b)实验原理: 双上升沿D触发器(有预置端和清除端)的逻辑图:

锁存器和触发器进入不可预测状态的分析与解决方法

锁存器和触发器进入不可预测状态的分析与解决方法 一.锁存器 1.S-R锁存器 S-R锁存器进入亚稳态的可能情况:1.S,R同时由“1”变为“0”。 2.S,R任一端口输入脉冲很短(毛刺)。 区域2: 当S和R为“0”时,保持输出和上一状态相同,但由于S和R同时变化为“0”,各个器件传输延迟不同,那么保持的上一状态将是未知的。区域 2 的作用就是人为地增加R的传输延迟,从而在.S,R同时由“1”变为“0”时,使输出为确定状态(Q为1 QN为0)。也可以将区域2移到S输入端,这样在.S,R同时由“1”变为“0”时输出状态确定为Q为0 QN为1。 区域1: 区域1 可以一定程度上解决毛刺问题,区域1为D锁存器,当输入为脉冲宽度很小的毛刺时,D锁存器将其识别为没有变化,这样D锁存器输出就没有了此毛刺,后级的S-R锁存器就不会遇到此毛刺。如果D锁存器将一个一定脉冲宽度的脉冲识别为变化,那么输出给S-R锁存器。(其实没有什么严格规定,小于多少纳秒就是毛刺,所以我能想到的就是用其它器件来作前级“过滤”,至少可以消除一些宽度过小的毛刺。) 关于区域1和2的作用会在以下的几个锁存器和触发器的波形仿真中具体解释。S-R with enable 锁存器

S-R with enable锁存器进入亚稳态的可能情况:1 .S R C同时为“1”时,C由 “1”变为“0”,或者S ,R同 时由“1”变为“0”。 2. 毛刺 区域1: 区域1的作用同S_R锁存器,为“毛刺过滤”。 区域2: 两个区域2 都是由偶数个反相器组成,都是用来做延时。 上面的区域2的作用是:S R C同时为“1”,C由“1”变为“0”时,S通路较R通路变化滞后,这样在这种情况下,亚稳态被固定为Q为1 QN为0;(之所以这里用到四个反相器,而不是两个,是因为实验室两个也出现亚稳态。也就是延时不足。) 下面的区域2的作用是:C为“1”,S ,R同时由“1”变为“0”时,R通路较S通路变化滞后,这样在这种情况下,亚稳态被固定为Q为0 QN为1。 以下是Timing Simulation 时序图。

数字逻辑器件_按功能分类_触发器锁存器等

数字逻辑器件触发器 CD40106BM:六施密特触发器 CD4013BE:具有置位/复位功能双D型触发器 CD4013BM:具有置位/复位功能双D型触发器 CD40174BE:六D触发器 CD40174BM:六D触发器 CD40175BE:COMS四D触发器 CD4027BM96:双JK触发器 CD4093BE:二输入端四与非施密特触发器 CD4093BM:二输入端四与非施密特触发器 SN74ABT374ADW:八边沿D触发器(带3态输出) SN74ABT377ADW:八边沿D触发器(带4态输出) SN74ABT573ADW:八边沿D触发器(带4态输出) SN74ABT574ADW:八边沿D触发器(带4态输出) SN74AC574DW:八上升沿D触发器(三态输出) SN74AC74N:双上升沿D触发器(带清除和预置) SN74ACT14D:六反相施密特触发器 SN74ACT374DW:八上升沿D触发器(三态同相输出) SN74ACT374N:八上升沿D触发器(三态同相输出) SN74ACT534N:八上升沿D触发器(三态反相输出) SN74ACT564N:八上升沿D触发器(三态反相输出) SN74ACT74D:双上升沿正D触发器(有预置和清除端) SN74ACT74N:双上升沿正D触发器(有预置和清除端) SN74AHC273DW:八D触发器(带清除) SN74AHC273N:八D触发器(带清除) SN74AHC374DW:八上升沿D触发器(三态同相输出) SN74AHC374N:八上升沿D触发器(三态同相输出) SN74AHC574DW:八上升沿D触发器(三态同相输出) SN74AHC574DWR:八上升沿D触发器(三态同相输出) SN74AHC574N:八上升沿D触发器(三态同相输出) SN74AHC74D:双上升沿D触发器(有预置和清除端) SN74AHC74N:双上升沿D触发器(有预置和清除端) SN74AHC74NSR:双上升沿D触发器(有预置和清除端) SN74AHC74PW:双上升沿D触发器(有预置和清除端) SN74AHCT374DW:八上升沿D触发器(三态同相输出) SN74AHCT374N:八上升沿D触发器(三态同相输出) SN74AHCT574DW:八上升沿D触发器(三态同相输出) SN74AHCT574N:八上升沿D触发器(三态输出) SN74AHCT74D:双上升沿D触发器(有预置和清除端) SN74AHCT74N:双上升沿D触发器(有预置和清除端) SN74ALS109AN:双JK触发器 SN74ALS174N:六上升沿D触发器(有清除端)

D锁存器与D触发器亚稳态的分析,结合定时参数-写的极好

这篇文章感觉写的相当好 1、简介 这篇文章是我对电子设计中,亚稳态问题的一种分析和总结。文章通过对数字电路中器件的工作机制的介绍,引出亚稳态问题的发生机制。并通过对亚稳态问题发生机制的探讨,用以得到一种能够清楚地,有的放矢地解决亚稳态问题的能力。而这种能力,将会成为你作为一个工程师的价值所在。希望通过这个探讨,可以使你设计出属于自己的预防亚稳态的方法。对于常用的预防亚稳态的方法,由于网上已经有大量介绍,并且涉及到具体问题的分析,这里将不做重点介绍。 2、CMOS中的信息保存 Fig. 1. MOS管的电容模型 当一个MOS工艺晶体管被制造之后,在不同极之间,因为介电物质的存在,会形成电容。当晶体管工作时,这些电容会被充/放电。当充放电回路上的R,C有不同取值的时候,回路的时间常数(由RC表示)会不同。 在数字电路中,最简单的结构是反向器(inverter)。在CMOS工艺中,它由一个NMOS加一个PMOS组成。 Fig. 2. 反向器 对于这个反向器,可以简化成由工作电阻Ron,结点电容CL组成的充放电电路。

Fig. 3. 反向器充放电模型 当Inverter输入为0时,PMOS导通,对CL充电,时间由Rp*CL决定 当Inverter输入为1时,NMOS导通,对CL放电,时间由Rn*CL决定 其中CL由P/NMOS的漏极结点上所有相关的电容组成,这是一种近似的计算方法(将分布的电容进行集总)。 CL=Cdb+Cgd(Miller效应)+Cwire+Cg_fanout 从这里我们得到 1,任何信号都需要时间才能从输入端口传递到输出端口。 2,对CL的充放电影响系统的动态(翻转)功耗,如果想降低功耗,可以对不使用的信号添加使能。 3,由于Rp != Rn,所以Rp*CL != Rn*CL,所以rising time != falling time 对于分析亚稳态的问题,只需要记住第一条,任何信号从输入端口传递到输出端口都需要一定的时间。对于任何其他门电路,分析过程都一样。 3、SETUP/HOLD 时间的意义 S/H时间是时序器件的属性。下面我们来说一下,这两个时间是如何产生的。任何器件“原则”上都是电平有效的,边沿触发的器件是一种“把戏”。 首先我们看一下D锁存器。 Fig. 4. D锁存器 真值表: E D Q 0 x PreQ 1 0 0 1 1 1

锁存器与触发器亚稳态的分析,

1、简介 这篇文章是我对电子设计中,亚稳态问题的一种分析和总结。文章通过对数字电路中器件的工作机制的介绍,引出亚稳态问题的发生机制。并通过对亚稳态问题发生机制的探讨,用以得到一种能够清楚地,有的放矢地解决亚稳态问题的能力。而这种能力,将会成为你作为一个工程师的价值所在。希望通过这个探讨,可以使你设计出属于自己的预防亚稳态的方法。对于常用的预防亚稳态的方法,由于网上已经有大量介绍,并且涉及到具体问题的分析,这里将不做重点介绍。 2、CMOS中的信息保存 Fig. 1. MOS管的电容模型 当一个MOS工艺晶体管被制造之后,在不同极之间,因为介电物质的存在,会形成电容。当晶体管工作时,这些电容会被充/放电。当充放电回路上的R,C有不同取值的时候,回路的时间常数(由RC表示)会不同。 在数字电路中,最简单的结构是反向器(inverter)。在CMOS工艺中,它由一个NMOS加一个PMOS组成。 Fig. 2. 反向器 对于这个反向器,可以简化成由工作电阻Ron,结点电容CL组成的充放电电路。

Fig. 3. 反向器充放电模型 当Inverter输入为0时,PMOS导通,对CL充电,时间由Rp*CL决定 当Inverter输入为1时,NMOS导通,对CL放电,时间由Rn*CL决定 其中CL由P/NMOS的漏极结点上所有相关的电容组成,这是一种近似的计算方法(将分布的电容进行集总)。 CL=Cdb+Cgd(Miller效应)+Cwire+Cg_fanout 从这里我们得到 1,任何信号都需要时间才能从输入端口传递到输出端口。 2,对CL的充放电影响系统的动态(翻转)功耗,如果想降低功耗,可以对不使用的信号添加使能。 3,由于Rp != Rn,所以Rp*CL != Rn*CL,所以rising time != falling time 对于分析亚稳态的问题,只需要记住第一条,任何信号从输入端口传递到输出端口都需要一定的时间。对于任何其他门电路,分析过程都一样。 3、SETUP/HOLD 时间的意义 S/H时间是时序器件的属性。下面我们来说一下,这两个时间是如何产生的。任何器件“原则”上都是电平有效的,边沿触发的器件是一种“把戏”。 首先我们看一下D锁存器。 Fig. 4. D锁存器 真值表: E D Q 0 x PreQ 1 0 0 1 1 1

寄存器-触发器-锁存器区别与联系

寄存器-触发器-锁存器区别与联系

1.1 寄存器 在实际的数字系统中,通常把能够用来存储一组二进制代码的同步时序逻辑电路称为寄存器.由于触发器内有记忆功能,因此利用触发器可以方便地构成寄存器。由于一个触发器能够存储一位二进制码,所以把n个触发器的时钟端口连接起来就能构成一个存储n位二进制码的寄存器。 1.2 锁存器 由若干个钟控D触发器构成的一次能存储多位二进制代码的时序逻辑电路。数据有效迟后于时钟信号有效。这意味着时钟信号先到,数据信号后到。在某些运算器电路中有时采用锁存器作为数据暂存器。 1.3 缓冲器 缓冲器相当于一个寄存器,暂时保存数据.缓冲是用来在两种不同速度的设备之间传输信息时平滑传输过程的常用手段。除了在关键的地方采用少量硬件缓冲器之外,大都采用软件缓冲。软件缓冲区是指在I/O操作期间用来临时存放输入/输出数据的一块存储区域。在操作系统中,引入缓冲的主要原因如: 缓和CPU与l/0设备间速度不匹配的矛盾。一般情况下,程序的运行过程是时而进行计算,时而进行输入或输出。以输出为例,如果没有缓冲,则程序在输出时,必然由于打印机的速度跟不上而使CPU停下来等待;然而在计算阶段,打印机又无事可做。如果设置一个缓冲区,程序可以将待输出的数据先输出到缓冲区中,然后继续执行;而打印机则可以从缓冲区取出数据慢慢打印。 1.4 寄存器和锁存器的区别 (1)寄存器是同步时钟控制,而锁存器是电位信号控制。 (2)寄存器的输出端平时不随输入端的变化而变化,只有在时钟有效时才将输入端的数据送输出端(打入寄存器),而锁存器的输出端平时总随输入端变化而变化,只有当锁存器信号到达时,才将输出端的状态锁存起来,使其不再随输入端的变化而变化 可见,寄存器和锁存器具有不同的应用场合,取决于控制方式以及控制信号和数据之间的时间关系:若数据有效一定滞后于控制信号有效,则只能使用锁;数据提前于控制信号而到达并且要求同步操作,则可用寄存器来存放数据。 一、锁存器 1. 锁存器的工作原理 锁存器不同于触发器,它不在锁存数据时,输出端的信号随输入信号变化,就像信号通过一个缓冲器一样;一旦锁存信号起锁存作用,则数据被锁住,输入信号不起作用。锁存器也称为透明锁存器,指的是不锁存时输出对于输入是透明的。

锁存器使用总结

锁存器使用总结 本文要点 1:锁存器的主要作用 2:74HC573引脚图 3:74HC573电路连接及使用说明 锁存器辨析 所谓锁存器,就是输出端的状态不会随输入端的状态变化而变化,仅在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号到来时才改变。典型的锁存器逻辑电路是 D 触发器电路。 PS:锁存信号(即对LE赋高电平时Data端的输入信号)。锁存,就是把信号暂存以维持某种电平状态。 锁存器的最主要作用 1:缓存、 2:完成高速的控制其与慢速的外设的不同步问题、 3:是解决驱动的问题(提供的电流比51IO口输出电流大) 4:拓展I/O口(可以很猥琐的用锁存器幂叠加方法,即锁存器的Q再接锁存器~ 实现IO口的无限拓展···) 锁存器应用实例: I/O口复用:当单片机连接片外存储器时,要接上锁存器,这是为了实现地址的复用。假设,MCU 端口其中的8 路的I/O 管脚既要用于地址信号又要用于数据信号,这时就可以用锁存器先将地址锁存起来。(具体操作:先送地址信息,由ALE使能锁存器将地址信息锁存在外设的地址端,然后送数据信息和读写使能信号,在指定的地址进行读写操作) 如果单片机的总线接口只作一种用途,不需要接锁存器;如果单片机的总线接口要作两种用途,就要用到锁存器。例如:一个I/O口要控制两个LED,对第一个LED 送数据时,“打开”第一个锁存器而“锁住”第二个锁存器,使第二个LED 上的数据不变。对第二个LED 送数据时,“打开”第二个锁存器而“锁住”第一个锁存器,使第一个LED 上的数据不变。如果单片机的一个口要做三种用途,则可用三个锁存器,操作过程相似。就这一种用法而言,可以把锁存器视为单片机的I/O 口的扩展器。 74HC573引脚分布图

寄存器,触发器,锁存器之间的区别与联系

寄存器,触发器,锁存器之间的区别与联系 寄存器一般是边沿触发的触发器,电路里叫register,而触发器就是楼上所说的各种逻辑门构成的包含电平触发和边沿触发的两种,而锁存器则是电平触发的。所以一般说来,我们只叫寄存器和锁存器两种,在时序电路中寄存器的作用就是只在时钟的边沿有效传输data (setup time和hold time满足),而锁存器则在有效电平器件都可以传输data 寄存器:register,由时钟沿触发的,一般是主从的,我们这数字电路里也学过主要是由传输门和反向器构成,应用很广! 锁存器:latch,由电平触发,有很很多种,有我们数字电路里学的JK,RS等,一般是用传输门和反向器构成构成在较多,其优点是面积小,但时序分析较困难! 触发器一般是指寄存器:flip-flop D触发器上电时Q和Q非的电平是怎样的? D触发器刚上不定的。只有当有反馈后才知道。可以在R、S端加RC延时电路来预制初态 锁存器 在实际的数字系统中,通常把能够用来存储一组二进制代码的同步时序逻辑电路称为寄存器.由于触发器内有记忆功能,因此利用触发器可以方便地构成寄存器。由于一个触发器能够存储一位二进制码,所以把n个触发器的时钟端口连接起来就能构成一个存储n位二进制码的寄存器。锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,尽当锁存器处于使能状态时,输出才会随着数据输入发生变化。 触发器 触发器是边沿敏感的存储单元,数据存储的动作有某一信号的上升或者下降沿进行同步的。在实际的数字系统中,通常把能够用来存储一组二进制代码的同步时序逻辑电路称为寄存器.由于触发器内有记忆功能,因此利用触发器可以方便地构成寄存器。由于一个触发器能够存储一位二进制码,所以把n个触发器的时钟端口连接起来就能构成一个存储n位二进制码的寄存器。寄存器用来存放数据的一些小型存储区域,用来暂时存放参与运算的数据和运算结果。其实寄存器就是一种常用的时序逻辑电路,但这种时序逻辑电路只包含存储电路。寄存器的存储电路是由锁存器或触发器构成的,因为一个锁存器或触发器能存储1位二进制数,所以由N个锁存器或触发器可以构成N位寄存器。触发器是在时钟的沿进行数据的锁存的,而锁存器是用电平使能来锁存数据的。所以触发器的Q输出端在每一个时钟沿都会被更新,而锁存器只能在使能电平有效器件才会被更新。有一些教科书里的触发器实际是锁存器。在FPGA设计中建议如果不是必须那么应该尽量使用触发器而不是锁存器。 钟控D触发器其实就是D锁存器,边沿D触发器才是真正的D触发器,钟控D 触发器在使能情况下输出随输入变化,边沿触发器只有在边沿跳变的情况下输出才变化。两个D锁存器可以构成一个D触发器,归根到底还是dff是边沿触发的,而latch是电平触发的。锁存器的输出对输入透明的,输入是什么,输出就是什么,这就是锁存器不稳定的原因,而触发器是由两个锁存器构成的一个主从触发器,输出对输入是不透明的,必须在时钟的上升

触发器是数电路中的一种基本单元

第5章触发器 5.1 概述 触发器是数字电路中的一种基本单元,它与门电路配合,能构成各种各样的时序逻辑部件,如记数器、寄存器、序列信号发生器等。 一个触发器具有如下的特点: ①两个互补的输出端Q和Q;②“O”和“1”两个稳态; ③触发器翻转的特性;④记忆能力。 1.对触发器的基本要求 1)应该具有两个稳定状态——0状态和1状态 2)能够接收、保存和输出信号 2.触发器的现态和次态 现态——触发器接收输入信号之前的状态叫做现态,用Q n表示。 次态——触发器接收输入信号之后的状态叫做次态,用Q n+1表示。 3.触发器的分类 1)按照电路结构和工作特点分 基本触发器、同步触发器、主从触发器和边沿触发器 2)按照(在时钟控制下的)功能分 RS型触发器、D触发器、JK触发器、T触发器和T′触发器4.时序逻辑电路 组合逻辑电路的特点是 电路的输出仅取决于当时的输入,与电路的历史状态无关。即Z=F(X)。 时序逻辑电路的输出状态不仅与该时刻的输入有关,而且还与电路的历史状态有关。 由现在的输入状态和现在的输出状态共同决定下一次的输出状态。 电路特点 ①输入、输出之间至少有一条反馈路径; ②电路中含有贮存单元。 时序电路的一般结构如图。 X为输入变量; Z为输出变量; Q为触发器的输出,称为状态变量。Q n表示现态,Q n+1 表示次态;状态是时序电路的 输入X输出Z CP 触发器的 状态输出

一个重要概念。 W 为触发器的输入,也是时序电路的控制变量;CP 为时钟脉冲。 5.描述时序电路逻辑功能的方法 (1)方程式: ①输出方程:Z =F 1 (X ,Q n ) ②驱动方程:W =F 2 (X ,Q n ) ③状态方程:Q n +1= F 3 (W ,Q n ) (2)状态表 反映输入、输出、现态、次态之间的关系的表格。 (3)状态图 反映时序逻辑电路的状态转换规律及相应输入出取值情况的几何图形。 (4)时序图 表示各信号,电路状态等的取值在时间上的对应关系。 构成时序逻辑电路常用存储单元是触发器。 5.2 基本RS 触发器 5.2.1 由与非门组成 直接置0、置1,是构成各种不同功能触发器的基本单元。 用与非门构成的RS 触发器及逻辑符号如图。 1.功能分析 触发器的状态指Q 端的状态。 (1)R D =0,S D =1,则触发器置0。在R D 端加一 负脉冲(宽度>2t pd ),电路将可靠地翻转为Q =0状 态,并保持下来。 Q =0态,称为“复位状态”。 R D 端称为“复位端”或称直接置0端。 (2)R D =1,S D =0,则触发器置1。在S D 端加一 负脉冲(宽度>2t pd ),电路将可靠地翻转为Q =1状 态,并保持下来。 Q =1态,称为“置位状态”。 S D 端称为“置位端”或称直接置1端。 (3)R D =1,S D =1,则触发器保持原来的状态。 例如: Q =1,Q 、R D 的全1使Q =0,Q 的0又维持了Q 的1,这是触发器的一个稳态。同理,若Q =0,则触发器将保持另一个稳态—0态。 S D Q R D Q Set Reset

exp__数电实验四

数电实验报告 (报告类别:正常迟交补做其他) 报告分: 加减分: 实验题目: 三态输出触发器及锁存器扣分系数:成绩: 姓名: 学号: 学院:理学院年级: 实验目的: 1.掌握三态输出触发器及锁存器的功能及使用方法 2.学会三态输出触发器及锁存器构成的功能电路专业:电子信息科学与技术 班号: 联系电话: 实验日期:2013/5/15 友情提示:实验报告必须按时、按实验项目交给老师 实验仪器及材料: a) 双踪示波器 b) 器件 CD4043 (三态输出四R-S触发器)一片 74LS75 (四位D锁存器)一片 三、实验内容 1.锁存器功能及应用 图4.1为74LS75四D锁存器,每两个D锁存器由一个锁存信号G控制,当G为高电平时,输出端Q随输入端D信号的状态变化,当G由高变为低时,Q锁存在G端由高变低前Q 的电平上。

(1)验证图4.1锁存器功能,并列出功能状态表。 验证电路图如下 功能状态表 G D Q Q’ 1 0 0 1 1 1 1 0 0 0 Qn Qn’ 0 1 Qn Qn’ 注: Qn 为G端由高变低前Q的电平上。

(2)用74LS75组成数据锁存器 按图4.2接线,1D~4D接逻辑开关作为数据输入端,G1,2和G3,4接到一起作为锁存选通信号ST,1Q~4Q分别接到7段译码器的A-D端,数据输出由数码管显示。 设:逻辑电平H为“1”,L为“0” ST=1,输入0001,0011,0111,观察数码管显示。 ST=0,输入不同数据,观察输出变化。 图4.2 图4.3

测试电路图如下: 实验结果如下: 当ST=1,输入0001、0011、0111时,数码管依次显示数字:1,3,7,这与前面的电平的相位是一致的。当ST=0时,不论输入怎么变化,输出数码管没有变化。 2.三态输出触发器功能及应用 4043为三态R-S触发器,其包含有4个R-S触发器单元,输出端均用CMOS传输门对输出状态施加控制。当传输门截止时,电路输出呈“三态”,即高阻状态。管脚排列见图4.3。(1)三态输出R-S触发器功能测试 验证R-S触发器功能,并列出功能表。 注意:(a)不用的输入端必须接地,输出端可悬空。

数电实验5-触发器研究分析

大学本科实验报告专用纸 课程名称数字电子技术实验成绩评定 实验项目名称触发器研究分析指导教师 实验项目编号实验项目类型验证+设计实验地点实B406 学生姓名学号学院 专业实验时间年月日 一、实验目的 1.学会正确使用D、 JK集成触发器。 2.掌握门控D锁存器、边沿JK、D触发器的工作原理。 3.深刻理解门控锁存器电平触发方式和触发器边沿触发方式的区别。 二、实验器件与实验设备 1.四2输入与非门74LS00 2片 2.双D正沿触发器74LS74 1片 3.双JK负沿触发器74LS73 1片 4.六反相器74LS04 1片 5.四2输入与门74LS08 1片 6.数字信号显示仪 7.GOS-6051示波器 8. TDS-4数字系统综合实验平台 芯片引脚图

四2输入与门:Y=AB

。 特别注意:74LS73引脚11是GND,引脚4是V CC 三、实验原理 1.时序逻辑电路测试 时序逻辑电路测试的目的是验证其状态的转换是否与状态图或时序图相符合。可用电平显示灯、数码管、示波器或数字信号显示仪等观察输出状态的变化。

常用的测试方法有两种: ①静态测试(又称单拍工作方式) 单拍工作方式:以单脉冲源作为时钟脉冲,用电平指示灯观察,逐拍进行观测输出变化,来判断输出状态的转换是否与状态图相符。单拍工作方式是检查设计与接线是否正确无误的第一步。 ②动态测试(又称连续工作方式) 连续工作方式:以连续脉冲源作为时钟脉冲,用示波器或数字信号显示仪观察波形,来判断输出波形是否与时序图相符。动态测试的主要目的测试电路的频率及稳定特性等。通常时序逻辑电路都必须进行连续工作方式的测试。 2. 触发器特性 触发器是具有记忆功能能存储数字信息的最常用的一种基本单元电路,是构成时序逻辑电路的基本逻辑部件。触发器具有两个稳定的状态:0状态和1状态;在适当触发信号作用下,锁存器和触发器的状态发生翻转,即锁存器和触发器可由一个稳态转换到另一个稳态。当输入触发信号消失后,锁存器和触发器翻转后的状态保持不变(记忆功能)。 四、实验内容与结果 1.测试双D触发器74LS74中一个触发器的逻辑功能 ①静态测试(又称单拍工作方式测试) 测试分析要求:按照下页表格测试,并根据实验测试结果填写74LS74的真值表。 测试提示: 在表格中的第一和第二行的测试中反复领会强制置0端CLR(又称复位)和强制置1端PR(又称置位)的强制特性。 在表格中的第三和第四行的测试中利用实验台单脉冲信号源和D输入信号的手动操作反复体验74LS74上沿触发方式的边沿触发器的触发方式的特性。

锁存器与触发器习题与参考答案

第5章 锁存器与触发器 习题与参考答案 [题5-1] 画出图题5-1所示的SR 锁存器输出端Q 、Q 端的波形,输入端S 与R 的波形如图所示。(设Q 初始状态为0) S R S R S R Q Q . . . . 图题5-1 解: S R . Q Q . . . . [题5-2] 画出图题5-2所示的SR 锁存器输出端Q 、Q 端的波形,输入端S 与R 的波形如图所示。(设Q 初始状态为0) S R S R Q Q . . . S R . . . . 图题 5-2 解: S R . Q Q . ... . . [题5-3] 画出图题5-3所示的电平触发SR 触发器输出端Q 、Q 端的波形,输入端S 、R 与CLK 的波形如图所示。(设Q 初始状态为0) C1S R S R Q Q . . . . CLK S R CLK . . . 图题5-3 解:

S R CLK . . Q Q . . [题5-4] 画出图题5-4所示的电平触发D 触发器输出Q 端的波形,输入端D 与CLK 的波形如图所示。(设Q 初始状态为0) C1 D D Q Q . . . . CLK D CLK . . 图题5-4 解: D CLK . . Q Q . . . . [题5-5] 画出图题5-5所示的边沿触发D 触发器输出端Q 端的波形,输入端D 与CLK 的波形如图所示。(设Q 初始状态为0) C1 1D D Q Q . . . . CLK D CLK . . . D Q Q . . . . CLK D CLK . . . C1 1D (1) (2) 图题5-5 解: D CLK . . . D CLK . .. (1) (2) Q Q . . . .

触发锁存和施密特触发器

触发锁存和施密特触发器 在分析电路时偶尔会遇到施密特触发器,记得以前学过,翻了翻数电,发现还是没有网上概括的简单明了,于是整理转录了一些。 先讲讲触发器、锁存器和寄存器和缓冲区。 锁存器(latch):锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,当锁存器处于使能状态时,输出才会随着数据输入发生变化。数据有效迟后于时钟(或者使能)信号有效。这意味着时钟(或者使能)信号先到,数据信号后到。在某些运算器电路中有时采用锁存器作为数据暂存器。 触发器(flipflop):最基本的就是边沿触发的存储单元。时钟有效迟后于数据有效。这意味着数据信号先建立,时钟信号后建立。在CP上升沿时刻打入到寄存器。 D触发器是指由时钟边沿触发的存储器单元,锁存器指一个由信号而不是时钟控制的电平敏感的设备。锁存器通过锁存信号控制,不锁存数据时,输出端的信号随输入信号变化,就像信号通过缓冲器一样,一旦锁存信号起锁存作用,则数据被锁住,输入信号不起作用。 锁存器和D触发器实现的逻辑功能基本相同,都是暂存数据。由与非门搭建的话,锁存器所耗用的逻辑资源比D触发器少,所以使用锁存器有更高的集成度,但锁存器有以下缺点: 1、没有时钟端,不受系统同步时钟的控制,无法实现同步操作,和当前我们尽可能采用时序电路的设计思路不符; 2、latch对毛刺敏感,受布线延迟影响较大,很难保证输出没有毛刺产 生;latch是电平触发,相当于有一个使能端,且在激活之后(在使能电平的时候)

相当于导线了,随输出而变化,在非使能状态下是保持原来的信号,这就可以看出和flip-flop的差别,其实很多时候latch是不能代替ff的。如果使用门电路来搭建latch和ff,则latch消耗的门资源比ff要少,这是latch比ff优越的地方。 3.在ASIC中使用latch的集成度比DFF高,但在FPGA中正好相反,因为FPGA 中没有标准的latch单元,但有DFF单元,一个LATCH需要多个LE才能实现。 https://www.wendangku.net/doc/e59578848.html,tch将静态时序分析变得极为复杂,目前latch只在极高端电的路中使用,如intel的P4等CPU。 一般的设计规则是:在绝大多数设计中避免产生LATCH.它会让您设计的时序完蛋,并且它的隐蔽性很强,非老手不能查出.latch最大的危害在于不能过滤毛刺。这对于下一级电路是极其危险的。所以,只要能用D触发器的地方,就不用latch。有些地方没有时钟,也只能用latch了。 组合逻辑避免产生latch的一种常用做法。在process里面的最开头对组合逻辑的输出赋初值。 举例说明如下: process(Rd_lenth,Wr_Addr_En,Rd_Addr_En,MRd_En,MWr_En,lm_Ack_n) beginnext_state=cur_state; casecur_stateiswhenidle= if(x)thennext_state=busy; else . endif; . Endprocess;

集成触发器功能测试及转换

深圳大学实验报告 课程名称:数字电路与逻辑设计 实验项目名称:集成触发器功能测试及转换 学院: 专业、班级: 指导教师: 报告人:学号: 实验报告提交时间: 2014-12-18 教务处制

一、实验目的与要求 1.悉并掌握RS、D、JK、T触发器的构成、工作原理和功能测试方法; 2.掌握不同逻辑功能触发器的相互转换; 3. 掌握三态触发器和锁存器的功能及使用方法; 4. 学会触发器、三态触发器、锁存器的应用。 二、预习要求 (1)复习各种触发器的工作原理、逻辑功能及不同结构形式触发器的触发方式、工作特性; (2)熟悉集成D触发器、JK触发器、三态输出RS触发器、D锁存器的引脚排列及功能; (3)复习各种触发器之间的功能转换方法。 三、实验说明 触发器是具有记忆作用的基本单元,在时序电路中时必不可少的。触发器具有两个基本性质: (1)在一定条件下,触发器可以维持在两种稳定状态上(0或1状态之一保持不变); (2)在一定的外加信号作用下,触发器可以从一种状态转变成另一种稳定状态(0-1或1-0),也就是说,触发器可记忆二进制的0或1,故被用作二进制的存储单元。 触发器可以根据有无时钟脉冲分为两大类:基本触发器和钟控触发器。从逻辑功能,即从触发器次态和现态以及输入信号之间的关系上,可以将钟控触发器分为RS触 发器、D触发器、JK触发器、T触发器等几种类型。当CP有效时, RS触发器的特性方程是:Q n+1=S+RQ n(约束条件:SR=0) D触发器的特性方程是:Q n+1=D JK触发器的特性方程是:Q n+1=JQ n+KQ n T触发器的特性方程是:Q n+1=TQ n+TQ n T’触发器的特性方程是:Q n+1=Q n 钟控触发器若按触发器方式,可分为电平触发(高电平触发、低电平触发)、边沿触发(上升沿触发、下降沿触发)和主从触发三种。电平触发:在时钟脉冲CP高(低)电平期间,触发器接受控制输入信号,从而改变其状态。电平触发方式的根本缺陷是空翻问题。边沿触发:仅在时钟CP的下降沿(1-0变化边沿)或上升边沿(0-1变化边沿)触发器才能接受控制输入信号,从而改变状态。主从触发:在时钟脉冲CP高电平期间,主触发器接受控制输入信号,时钟脉冲CP下降沿时刻从触发器可以改变状态——变为主触发器的状态。 四、实验设备 1.双踪示波器; 2.RXB-1B数字电路实验箱; 3.74LS74(双上升沿D触发器)、74LS76(霜下降沿JK触发器)、74LS86(四2输入异或门)。

寄存器_触发器_锁存器区别与联系

1.1 寄存器 在实际的数字系统中,通常把能够用来存储一组二进制代码的同步时序逻辑电路称为寄存器.由于触发器有记忆功能,因此利用触发器可以方便地构成寄存器。由于一个触发器能够存储一位二进制码,所以把n个触发器的时钟端口连接起来就能构成一个存储n位二进制码的寄存器。 1.2 锁存器 由若干个钟控D触发器构成的一次能存储多位二进制代码的时序逻辑电路。数据有效迟后于时钟信号有效。这意味着时钟信号先到,数据信号后到。在某些运算器电路中有时采用锁存器作为数据暂存器。 1.3 缓冲器 缓冲器相当于一个寄存器,暂时保存数据.缓冲是用来在两种不同速度的设备之间传输信息时平滑传输过程的常用手段。除了在关键的地方采用少量硬件缓冲器之外,大都采用软件缓冲。软件缓冲区是指在I/O操作期间用来临时存放输入/输出数据的一块存储区域。在操作系统中,引入缓冲的主要原因如: 缓和CPU与l/0设备间速度不匹配的矛盾。一般情况下,程序的运行过程是时而进行计算,时而进行输入或输出。以输出为例,如果没有缓冲,则程序在输出时,必然由于打印机的速度跟不上而使CPU停下来等待;然而在计算阶段,打印机又无事可做。如果设置一个缓冲区,程序可以将待输出的数据先输出到缓冲区中,然后继续执行;而打印机则可以从缓冲区取出数据慢慢打印。 1.4 寄存器和锁存器的区别 (1)寄存器是同步时钟控制,而锁存器是电位信号控制。 (2)寄存器的输出端平时不随输入端的变化而变化,只有在时钟有效时才将输入端的数据送输出端(打入寄存器),而锁存器的输出端平时总随输入端变化而变化,只有当锁存器信号到达时,才将输出端的状态锁存起来,使其不再随输入端的变化而变化 可见,寄存器和锁存器具有不同的应用场合,取决于控制方式以及控制信号和数据之间的时间关系:若数据有效一定滞后于控制信号有效,则只能使用锁;数据提前于控制信号而到达并且要求同步操作,则可用寄存器来存放数据。 一、锁存器 1. 锁存器的工作原理 锁存器不同于触发器,它不在锁存数据时,输出端的信号随输入信号变化,就像信号通过一个缓冲器一样;一旦锁存信号起锁存作用,则数据被锁住,输入信号不起作用。锁存器也称为透明锁存器,指的是不锁存时输出对于输入是透明的。 锁存器原理见图

相关文档
相关文档 最新文档