文档库 最新最全的文档下载
当前位置:文档库 › 电子系统综合创新设计-11电子-实验教学大纲

电子系统综合创新设计-11电子-实验教学大纲

电子系统综合创新设计-11电子-实验教学大纲
电子系统综合创新设计-11电子-实验教学大纲

《电子系统综合创新设计》实验教学大纲

适用范围:2012本科人才培养方案

课程编号: 0902107040

课程名称:电子系统综合创新设计

英文名称:Electronic system comprehensive innovation design

课程类型:选修

总学时/实验学时:32/12

学分:2

适用专业:电子信息工程

先修课程:模拟电子技术、数字电子技术、C语言程序设计、单片机技术

一、课程性质、目的和任务

《电子系统综合创新设计》实验,根据全国大学生电子设计竞赛的赛题设计,是理论和实践性结合紧密的一门课程,是理工科专业的综合能力培养的课程。为了使学生在学习这门课时,能很好地理解和掌握有关理论概念以及有软硬件的综合设计方法,特开设这门实验课。实验实施与安排与理论课密切相关,同步进行。

二、实验教学基本要求

本课程涉及的学习内容大多都具有直接的应用背景,因此感觉不会太抽象。但相关的知识一定要经过实践才能掌握其中的精髓,才能积累相应的开发经验。因此学习过程中要注意理论结合实践。

本实验课程要遵守南阳理工学院实验室规章制度的基本要求,根据微机原理实验课的基本要求进行试验操作,通过实验使学生学会使用常用电子仪器测量、程序仿真调试的方法;学会程序的设计,VHDL语言及EDA的应用技术、嵌入式系统的应用技术、各种传感器及检测电路的设计、各种常用接口电路的硬件电路设计及接口驱动程序的设计,使学生电子综合设计的原理及经典架构,初步具备一个电子工程师的系统设计能力。

三、实验项目与内容

阻。

(2)3dB通频带10kHz~6MHz,在20kHz~5MHz频带内增益起伏≤1dB。

(3)最大增益≥40dB,增益调节范围10dB~40dB(增益值6级可调,步进间隔6dB,增益预置值与实测值误差的绝对值≤2dB),需显示预置增益值。(4)最大输出电压有效值≥3V,数字显示输出正弦电压有效值。

2 电压控制LC振荡

器的设计实验

设计并制作一个电压控制LC

振荡器,主要参数要求:

(1)振荡器输出为正弦波,波

形无明显失真。

(2)输出频率范围:15MHz~

35MHz。

(3)输出频率稳定度:优于

10-3。

(4)输出电压峰-峰值:

Vp-p=1V±0.1V。

(5)实时测量并显示振荡器输

出电压峰-峰值,精度优于

10%。

(6)可实现输出频率步进,步

进间隔为1MHz 100kHz。

2 2

验证

专业

选修

必做

3 智能电动车的设计

实验

设计并制作一个简易智能电动

车,主要参数要求:

(1)电动车从起跑线出发(车

体不得超过起跑线),沿引导线

到达B点。在“直道区”铺设的

白纸下沿引导线埋有1~3块宽

2 2

验证

专业

选修

必做

度为15cm、长度不等的薄铁片。电动车检测到薄铁片时需立即发出声光指示信息,并实时存储、显示在“直道区”检测到的薄铁片数目。

(2)电动车到达B点以后进入“弯道区”,沿圆弧引导线到达C点(也可脱离圆弧引导线到达C点)。C点下埋有边长为15cm的正方形薄铁片,要求电动车到达C点检测到薄铁片后在C点处停车5秒,停车期间发出断续的声光信息。

(3)电动车在光源的引导下,通过障碍区进入停车区并到达车库。电动车必须在两个障碍物之间通过且不得与其接触。(4)电动车完成上述任务后应立即停车,但全程行驶时间不能大于90秒,行驶时间达到90秒时必须立即自动停车。

4 低频数字式相位测

试仪的设计实验

设计并制作一个低频相位测量

系统,包括相位测量仪、数字

式移相信号发生器和移相网络

三部分:

系统主要参数要求:

a.频率范围:20Hz~20kHz。

b.相位测量仪的输入阻抗

≥100k 。

c.允许两路输入正弦信号峰-

峰值可分别在1V~5V范围内

变化。

2 2

验证

专业

选修

必做

四、考核方式

实验成绩根据实验操作和实验报告考核评分,其中实验报告成绩占实验成绩的50%,实验操作成绩占实验成绩的50%。

五、推荐教材和教学参考书

参考书:

1.《电子设计指南》,孙肖子主编,高等教育出版社,2006年;

2.《电子系统设计实践》,全国大学生电子设计竞赛湖北赛区组委会组编,华中科技大学出版社,2005年;

3.《全国大学生电子设计竞赛获奖作品选编》全国大学生电子设计竞赛组委会编,北京理工大学出版社,2007年;

4.《全国大学生电子设计竞赛试题精解选)》,陈永真主编,电子工业出版社,2007年;

5.《微型计算机接口技术及应用》,刘乐善主编,华中科技大学出版社,2000年。

制订人:徐源审订人:陈华敏批准人:朱清慧

2012年8月

电子系统设计 实验报告

本科生实验报告 实验课程电子系统设计 学院名称 专业名称测控技术与仪器 学生姓名 学生学号 指导教师 实验地点 实验成绩 二〇年月——二〇年月

实验一、运放应用电路设计 一、实验目的 (1)了解并运用NE555定时器或者其他电路,学会脉冲发生器的设计,认识了解各元器件的作用和用法。 (2)掌握运算放大器基本应用电路设计 二、实验要求 (1)使用555或其他电路设计一个脉冲发生器,并能满足以下要求:产生三角波V2,其峰峰值为4V,周期为0.5ms,允许T有±5%的误差。 V2/V +2 图1-1 三角波脉冲信号 (2)使用一片四运放芯片LM324设计所示电路,实现如下功能:设计加法器电路,实现V3=10V1+V2,V1是正弦波信号,峰峰值0.01v,频率10kHz。 V3 图1-2 加法电路原理

三、实验内容 1、555定时器的说明: NE555是属于555系列的计时IC的其中的一种型号,555系列IC的接脚功能及运用都是相容的,只是型号不同的因其价格不同其稳定度、省电、可产生的振荡频率也不大相同;而555是一个用途很广且相当普遍的计时IC,只需少数的电阻和电容,便可产生数位电路所需的各种不同频率的脉波讯号。 a. NE555的特点有: 1.只需简单的电阻器、电容器,即可完成特定的振荡延时作用。其延时范围极广,可由几微秒至几小时之久。 2.它的操作电源范围极大,可与TTL,CMOS等逻辑闸配合,也就是它的输出准位及输入触发准位,均能与这些逻辑系列的高、低态组合。 3.其输出端的供给电流大,可直接推动多种自动控制的负载。 4.它的计时精确度高、温度稳定度佳,且价格便宜。 b. NE555引脚位配置说明下: NE555接脚图: 图1-3 555定时器引脚图 Pin 1 (接地) -地线(或共同接地) ,通常被连接到电路共同接地。 Pin 2 (触发点) -这个脚位是触发NE555使其启动它的时间周期。触发信号上缘电压须大于2/3 VCC,下缘须低于1/3 VCC 。

电子系统综合设计实验报告

电子系统综合设计实验报告 所选课题:±15V直流双路可调电源 学院:信息科学与工程学院 专业班级: 学号: 学生姓名: 指导教师: 2016年06月

摘要本次设计本来是要做±15V直流双路可调电源的,但由于买不到规格为±18V的变压器,只有±15V大小的变压器,所以最后输出结果会较原本预期要小。本设计主要采用三端稳压电路设计直流稳压电源来达到双路可调的要求。最后实物模型的输出电压在±13左右波动。 1、任务需求 ⑴有+15V和-15V两路输出,误差不超过上下1.5V。(但在本次设计中,没有所需变压器,所以只能到±12.5V) ⑵在保证正常稳压的前提下,尽量减小功效。 ⑶做出实物并且可调满足需求 2、提出方案 直流可变稳压电源一般由整流变压器,整流电路,滤波器和稳压环节组成如下图a所示。 ⑴单相桥式整流 作用之后的输出波形图如下:

⑵电容滤波 作用之后的输出波形图如下: ⑶可调式三端集成稳压器是指输出电压可以连续调节的稳压器,有输出正电压的LM317三端稳压器;有输出负电压的LM337三端稳压器。在可调式三端集成稳压器中,稳压器的三个端是指输入端、输出端和调节端。 LM317的引脚图如下图所示:(LM337的2和3引脚作用与317相反)

3、详细电路图: 因为大容量电解电容C1,C2有一定的绕制电感分布电感,易引起自激振荡,形成高频干扰,所以稳压器的输入、输出端常并入瓷介质小容量电容C5,C6,C7,C8用来抵消电感效应,抑制高频干扰。 参数计算: 滤波电容计算: 变压器的次级线圈电压为15V ,当输出电流为0.5A 时,我们可以求得电路的负载为I =U /R=34Ω时,我们可以根据滤波电容的计算公式: C=т/R,来求滤波电容的取值范围,其中在电路频率为50HZ 的情况下,T 为20ms 则电容的取值范围大于600uF ,保险起见我们可以取标准值为2200uF 额定电压为50V 的点解电容。另外,由于实际电阻或电路

北航电子电路设计数字部分实验报告

电子电路设计数字部分实验报告 学院: 姓名:

实验一简单组合逻辑设计 实验内容 描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出结果1,否则给出结果0。 实验仿真结果 实验代码 主程序 module compare(equal,a,b); input[7:0] a,b; output equal; assign equal=(a>b)1:0; endmodule 测试程序

module t; reg[7:0] a,b; reg clock,k; wire equal; initial begin a=0; b=0; clock=0; k=0; end always #50 clock = ~clock; always @ (posedge clock) begin a[0]={$random}%2; a[1]={$random}%2; a[2]={$random}%2; a[3]={$random}%2; a[4]={$random}%2; a[5]={$random}%2; a[6]={$random}%2; a[7]={$random}%2; b[0]={$random}%2; b[1]={$random}%2; b[2]={$random}%2; b[3]={$random}%2; b[4]={$random}%2;

b[5]={$random}%2; b[6]={$random}%2; b[7]={$random}%2; end initial begin #100000 $stop;end compare m(.equal(equal),.a(a),.b(b)); endmodule 实验二简单分频时序逻辑电路的设计 实验内容 用always块和@(posedge clk)或@(negedge clk)的结构表述一个1/2分频器的可综合模型,观察时序仿真结果。 实验仿真结果

现代电子实验报告 电子科技大学

基于FPGA的现代电子实验设计报告 ——数字式秒表设计(VHDL)学院:物理电子学院 专业: 学号: 学生姓名: 指导教师:刘曦 实验地点:科研楼303 实验时间:

摘要: 通过使用VHDL语言开发FPGA的一般流程,重点介绍了秒表的基本原理和相应的设计方案,最终采用了一种基于FPGA 的数字频率的实现方法。该设计采用硬件描述语言VHDL,在软件开发平台ISE上完成。该设计的秒表能准确地完成启动,停止,分段,复位功能。使用ModelSim 仿真软件对VHDL 程序做了仿真,并完成了综合布局布线,最终下载到EEC-FPGA实验板上取得良好测试效果。 关键词:FPGA,VHDL,ISE,ModelSim

目录 绪论 (4) 第一章实验任务 (5) 第二章系统需求和解决方案计划 (5) 第三章设计思路 (6) 第四章系统组成和解决方案 (6) 第五章各分模块原理 (8) 第六章仿真结果与分析 (11) 第七章分配引脚和下载实现 (13) 第八章实验结论 (14)

绪论: 1.1课程介绍: 《现代电子技术综合实验》课程通过引入模拟电子技术和数字逻辑设计的综合应用、基于MCU/FPGA/EDA技术的系统设计等综合型设计型实验,对学生进行电子系统综合设计与实践能力的训练与培养。 通过《现代电子技术综合实验》课程的学习,使学生对系统设计原理、主要性能参数的选择原则、单元电路和系统电路设计方法及仿真技术、测试方案拟定及调测技术有所了解;使学生初步掌握电子技术中应用开发的一般流程,初步建立起有关系统设计的基本概念,掌握其基本设计方法,为将来从事电子技术应用和研究工作打下基础。 本文介绍了基于FPGA的数字式秒表的设计方法,设计采用硬件描述语言VHDL ,在软件开发平台ISE上完成,可以在较高速时钟频率(48MHz)下正常工作。该数字频率计采用测频的方法,能准确的测量频率在10Hz到100MHz之间的信号。使用ModelSim仿真软件对VHDL程序做了仿真,并完成了综合布局布线,最终下载到芯片Spartan3A上取得良好测试效果。 1.2VHDL语言简介:

电子系统综合设计实训

数字电阻电容测量仪仿真设计 1、测量原理图 图1 测量原理图 R C V 电路主要由单片机U 1、NE555定时芯片U 2和检测电容C X 组成。NE555定时器芯片的6脚与7脚相连,与电阻R 和待测电容C X 组成单稳态触发电路。 上电复位后,比较器OP 1、OP 2的输出为高电平,R=S=1,RS 触发器处于保持状态,单稳态触发器输出稳态0。 系统需要测量时,单片机的P37引脚上输出负向窄脉冲V TR 控制单稳态触发器进入暂态,即可实现一次测量,工作时序图如图2所示。V TR 电平变低后,比较器OP 2的输出为低电平。此时,S=0,R=1,RS 触发器处于置1状态,单稳态触发器进入了暂态1。G 3输出的低电平使三极管T 截至,电源通过电阻R 开始对待测电容充电,如图2的V CX 波形所示。当V CX 上升到电源电压的三分之二后,比较器OP 1 翻转,使得R=0。由于 V TR 的脉冲宽度为T 1,在V CX 升到三分之二电源电压前已经拉高。此时,R=0,S=1,单稳态触发器的暂态1结束,返回到稳态0,暂态的持续时间为T W ,如图3的V O 波形所示。在暂态期间,如果V TR 的低电平宽度变为T 2,V CX 到达翻转点后还没有变高,基本RS 触发器就会进入到R=0,S=0的禁止状态,输出V O 的波形无法预测,测量出错误结果。因此,要保证T 1

电工电子综合实验1--裂相电路仿真实验报告格 2

电子电工综合实验论文 专题:裂相(分相)电路 院系:自动化学院 专业:电气工程及其自动化 姓名:小格子 学号: 指导老师:徐行健

裂相(分相)电路 摘要: 本实验通过仿真软件Mulitinism7,研究如何将一个单相的交流分裂成多相交流电源的问题。用如下理论依据:电容、电感元件两端的电压和电流相位差是90度,将这种元件和与之串联的电阻当作电源,这样就可以把单相交流源分裂成两相交流电源、三相电源。同时本实验还研究了裂相后的电源接不同的负载时电压、功率的变化。得到如下结论: 1.裂相后的电源接相等负载时两端的电压和负载值成正相关关系; 2.接适当的负载,裂相后的电路负载消耗的功率将远大于电源消耗的功率; 3.负载为感性时,两实验得到的曲线差别较小,反之,则较大。 关键词:分相两相三相负载功率阻性容性感性 引言 根据电路理论可知,电容元件和电感元件最容易改变交流电的相位,又因它们不消耗能量,可用作裂相电路的裂相元件。所谓裂相,就是将适当的电容、电感与三相对称负载相配接,使三相负载从单相电源获得三相对称电压。而生活和工作中一般没有三相动力电源,只有单相电源,如何利用单相电源为三相负载供电,就成了值得深入研究的问题了。 正文 1.实验材料与设置装备 本实验是理想状态下的实验,所有数据都通过在电路专用软件Multisim 7中模拟实验测得的;所有实验器材为(均为理想器材) 实验原理: (1). 将单相电源分裂成两相电源的电路结构设计 把电源U1分裂成U1和U2输出电压,如下图所示为RC桥式分相电压原理,可以把输入电压分成两个有效值相等,相位相差90度的两个电压源。 上图中输出电压U1和U2与US之比为

电子系统设计专题实验

电子系统设计专题实验报告 ——AVR 单片机基础实验 学 院: 电信学院 班 级: 计算机14 学 号: 2110505092 姓 名: 刘鑫

一、实验目的和要求 本实验课程的主要目的是通过一个新型嵌入式单片机为核心的应用系统设计,掌握微型计算机硬件系统结构基本原理,软件开发编程方法,外围接口电路的组成和应用编程技术,以及电子系统设计的相关技术。通过课程实践训练,能够独立实现一个完整的计算机应用系统设计。 要求基本实验部分学习单片机系统的基本硬件组成原理和软件程序设计方法;综合设计实验要求根据题目需求自行设计系统硬件组成电路,并设计实现完成相应功能的应用程序调试任务。 二、实验设备及开发环境 以AVR ATmega128单片机为核心的实验开发系统。实验开发板采用技术性能优良的AVR ATmega128单片机作为核心器件,还特别设计了USB接口模块、Ethernet网络接口模块,还有MCU对外扩插槽,可为电路扩展模块提供必要的准备。 AVR单片机实验开发系统实验测试环境: 1.软件开发平台: PC机WindowsXP操作系统; AVR Studio 4.16 集成开发软件; WinAVR 20080610 C语言编译器; 2.下载编程工具: JTAG ICE mkII在线仿真器; 3.测试目标板: ATmega128实验开发板; 4.测试程序:用C语言编写电路功能测试程序,在WinAVR(GCC)+ AVR Studio编译下通过。 三、实验设计题目及实现的功能 实验一:单片机实验系统开发环境学习 1. 熟悉实验电路的结构原理、元器件名称、作用及相应的接口连接; 2. 学会使用C编译器编辑、编译、调试简单C源程序; 3. 学会使用AVR Studio集成开发软件下载调试并得到正确结果; 4. 熟悉蜂鸣器电路的编程原理 实验程序源代码: #include // I/O端口寄存器配置文件,必须包含 #include // 延时函数调用文件 int main(void) // GCC中main文件必须为返回整形值的函数,没有 // 参数 { PORTE = 0X80; // PORTE输出高电平,使蜂鸣器不响 DDRE = 0X08; // 配置端口PE3为输出口 while(1) { PORTE &= ~(1 << PE3); //PE3置“0”,但是这种设置方法不改变PE口其余位 //的状态,平时程序中推荐这种使用方法 _delay_ms(100); // 延时100毫秒 _delay_ms(100); _delay_ms(100);

电子电路综合设计实验报告

电子电路综合设计实验报告 实验5自动增益控制电路的设计与实现 学号: 班序号:

一. 实验名称: 自动增益控制电路的设计与实现 二.实验摘要: 在处理输入的模拟信号时,经常会遇到通信信道或传感器衰减强度大幅变化的情况; 另外,在其他应用中,也经常有多个信号频谱结构和动态围大体相似,而最大波幅却相差甚多的现象。很多时候系统会遇到不可预知的信号,导致因为非重复性事件而丢失数据。此时,可以使用带AGC(自动增益控制)的自适应前置放大器,使增益能随信号强弱而自动调整,以保持输出相对稳定。 自动增益控制电路的功能是在输入信号幅度变化较大时,能使输出信号幅度稳定不变或限制在一个很小围变化的特殊功能电路,简称为AGC 电路。本实验采用短路双极晶体管直接进行小信号控制的方法,简单有效地实现AGC功能。 关键词:自动增益控制,直流耦合互补级,可变衰减,反馈电路。 三.设计任务要求 1. 基本要求: 1)设计实现一个AGC电路,设计指标以及给定条件为: 输入信号0.5?50mVrm§ 输出信号:0.5?1.5Vrms; 信号带宽:100?5KHz; 2)设计该电路的电源电路(不要际搭建),用PROTE软件绘制完整的电路原理图(SCH及印制电路板图(PCB 2. 提高要求: 1)设计一种采用其他方式的AGC电路; 2)采用麦克风作为输入,8 Q喇叭作为输出的完整音频系统。 3. 探究要求: 1)如何设计具有更宽输入电压围的AGC电路; 2)测试AGC电路中的总谐波失真(THD及如何有效的降低THD 四.设计思路和总体结构框图 AGC电路的实现有反馈控制、前馈控制和混合控制等三种,典型的反馈控制AGC由可变增益放大器(VGA以及检波整流控制组成(如图1),该实验电路中使用了一个短路双极晶体管直接进行小信号控制的方法,从而相对简单而有效实现预通道AGC的功能。如图2,可变分压器由一个固定电阻R和一个可变电阻构成,控制信号的交流振幅。可变电阻采用基极-集电极短路方式的双极性晶体管微分电阻实现为改变Q1电阻,可从一个由电压源V REG和大阻值电阻F2组成的直流源直接向短路晶体管注入电流。为防止Rb影响电路的交流电压传输特性。R2的阻值必须远大于R1。

电子电路实验三-实验报告

电子电路实验三-实验报告

————————————————————————————————作者:————————————————————————————————日期:

实验三负反馈放大电路 实验报告 一、实验数据处理 1.实验电路图 根据实际的实验电路,利用Multisim得到电路图如下: (1)两级放大电路 (2)两级放大电路(闭环)

(3)电流并联负反馈放大电路 2.数据处理 (1)两级放大电路的调试 第一级电路:调整电阻参数,使得静态工作点满足:IDQ约为2mA,UGDQ<-4V。记录并计算电路参数及静态工作点的相关数据(IDQ,UGSQ,UA,US、UGDQ)。 IDQ UGSQ UA US UGDQ 2.014mA-1.28V 5.77V7.05V-6.06V 第二级电路:通过调节Rb2,使得静态工作点满足:ICQ约为2mA,UCEQ=2~3V。记录电路参数及静态工作点的相关数据(ICQ,UCEQ)。 ICQ UCEQ 2.003mA 2.958V 输入正弦信号Us,幅度为10mV,频率为10kHz,测量并记录电路的电压放大倍数 A u1=U o1 U s 、A u= U o U s 及输入电阻Ri和输出电阻Ro。 Au1Au Ri Ro 0.783-152.790.75kΩ 3227.2Ω (2)两级放大电路闭环测试 在上述两级放大电路中,引入电压并联负反馈。合理选取电阻R的阻值,使得闭环电压放大

倍数的数值约为10。 输入正弦信号Us,幅度为100mV,频率为10kHz,测量并记录闭环电压放大倍数 A usf=U o/U s 输入电阻Rif和输出电阻Rof。 Ausf Rif Rof -9.94638.2Ω232.9Ω(3)电流并联负反馈放大电路 输入正弦信号Us,幅度为100mV,频率为10kHz,测量并记录闭环电压放大倍数 A usf=U o/U s 输入电阻Rif和输出电阻Rof。 Ausf Rif Rof 8.26335.0Ω3280.0Ω 3.误差分析 利用相对误差公式: 相对误差=仿真值?实测值 实测值 ×100% 得各组数据的相对误差如下表: 仿真值实测值相对误差 /% IDQ/mA 2.077 2.014 3.13 UA/V 5.994 5.770 3.88 UGDQ/V-5.994-6.060-1.09 ICQ/mA 2.018 2.0030.75 UCEQ/V 2.908 2.958-1.69 Au10.7960.783 1.66 Au-154.2-152.70.98 Ri/ kΩ90.7690.750.01

电子系统设计实习报告模板

实习报告 ——电子系统设计 学号:0706110408 班级:电信07-4 姓名:李华君

一.设计内容 基本任务: 1、用一位数码管(DS1)显示自己的学号,大约1秒钟显示1位数字 2、流水灯(循环点亮8个LED)\ 3、通过串口将自己的班级,学号,姓名发送至电脑,用串口调试助手显示。 扩展任务(做完基本任务后,有余力的同学选作,评定成绩加分): 任务一 在ds1302中写入当前时间,然后每个2秒钟通过max232送入计算机显示(年月日时分秒),送出20个时间信息后,蜂鸣器响一声。 任务二 在AT24C02中写入自己的姓名(拼音),学号,并通过串口在电脑显示输出。 任务三 通过ds18b20读入当前温度值,送入数码管显示,显示用三位(DS1,DS2,DS3显示,DS4不焊接),显示温度范围0-99摄氏度,精度0.5摄氏度。 任务四 通过ds18b20读入当前温度值,送入串口显示 二.系统程序代码 1、流水灯: #include #include void delay(unsigned int); unsigned char a; void main() { a=0xfe; P1=a; while(1) { a=_crol_(a,1); delay(500); P1=a; } } void delay(unsigned int z) { unsigned int x,y; for(x=100;x>0;x--) for(y=z;y>0;y--); }

2、数码管: #include sbit dula=P2^7; unsigned char ss,t; unsigned char code table[]={0x3f,0x07,0x3f,0x7d,0x06,0x06,0x3f,0x66,0x3f,0x7f}; void delay(unsigned int); void main() { /*t=0; TMOD=0x01; TH0=(65536-50000)/256; TL0=(65536-50000)%256; EA=1; ET0=1; TR0=1;*/ while(1) { /*if(t==20)*/ for(ss=0;ss<10;ss++) { /*t=0; if(ss==10) ss=0;*/ dula=1; P0=table[ss]; dula=0; delay(500); /*ss++;*/ } } } void delay(unsigned int z) { unsigned int x,y; for(x=z;x>0;x--) for(y=100;y>0;y--); } /*void timer0() interrupt 1 { TH0=(65536-50000)/256; TL0=(65536-50000)%256; t++; }*/ 3、串口: #include

电子系统综合设计报告

电子系统综合设计报告 姓名:陈丹 学号:100401202 专业:电子信息工程 日期:2013-4-2 南京理工大学紫金学院电光系

1 引言 温控仪是调控一体化智能温度控制仪表,它采用了全数字化集成设计,具有温度曲线可编程或定点恒温控制、多重PID调节、输出功率限幅曲线编程、手动/自动切换、软启动、报警开关量输出、实时数据查询、与计算机通讯等功能,将数显温度仪表和ZK晶闸管电压调整器合二为一,集温度测量、调节、驱动于一体,仪表直接输出晶闸管触发信号,可驱动各类晶闸管负载。YWK-CT温度控制器采用智能PID控制,当通过热电偶(热电阻)采集的被测温度偏离所希望的给定值时,YWK-CT温度控制器可根据测量信号与给定值的偏差进行比例(P)、积分(I)、微分(D)运算,从而控制继电器通断比率,促使测量值恢复到给定值,达到自动控制的效果;控制器还具有上、下限温度告警和继电器输出功能,性价比高,可广泛用于电力、化工、注塑、包装、食品等企业。此次设计温控仪主要想用温度传感器采集当前温度,在数码管上显示。通过这次课程设计锻炼我们的单片机应用能力以及对电子设备的实际操作能力,也可以说是为最后的毕业设计做铺垫。希望通过这次设计,能让自己对电子设计有更清晰的概念,而不是纸上谈兵。能够让所学与实际相结合。

2 系统设计 2.1总体方案设计 2.1.1总体设计流程 2.1.2温控仪原理图 开始 理解课题技术指标 子系统设计 单元电路设计 元器件选择 仿真、安装调试 正式样机设计 结束 调整 是否合格 N Y 设定输入 单片机 LED 显示 控制输出 双向可 继电器 控制 风扇 信号调 A/D 采集 加热丝 传感器

北京邮电大学电路实验报告-(小彩灯)

北京邮电大学电路实验报告-(小彩灯)

电子电路综合实验报告课题名称:基于运算放大器的彩灯显示电路的设计与实现 姓名:班级:学号: 一、摘要: 运用运算放大器设计一个彩灯显示电路,通过迟滞电压比较器和反向积分器构成方波—三角波发生器,三角波送入比较器与一系列直流电平比较,比较器输出端会分别输出高电平和低电平,从而顺序点亮或熄灭接在比较器输出端的发光管。 关键字: 模拟电路,高低电平,运算放大器,振荡,比较 二、设计任务要求: 利用运算放大器LM324设计一个彩灯显示电路,让排成一排的5个红色发光二极管(R1~R5)重复地依次点亮再依次熄灭(全灭→R1→R1R2→R1R2R3→R1R2R3R4→R1R2R3R4R5→R1R2R3R4→R1R2R3→R1R2→R1→全灭),同时让排成一排的6个绿色发光二极管(G1~G6)单光

三角波振荡电路可以采用如图2-28所示电路,这是一种常见的由集成运算放大器构成的方波和三角波发生器电路,图2-28中运放A1接成迟滞电压比较器,A2接成反相输入式积分器,积分器的输入电压取自迟滞电压比较器的输出,迟滞电压比较器的输入信号来自积分器的输出。假设迟滞电压比较器输出U o1初始值为高电平,该高电平经过积分器在U o2端得到线性下降的输出信号,此线性下降的信号又反馈至迟滞电压比较器的输入端,当其下降至比较器的下门限电压U th-时,比较器的输出发生跳变,由高电平跳变为低电平,该低电平经过积分器在U o2端得到线性上升的输出信号,此线性上升的信号又反馈至迟

滞电压比较器的输入端,当其上升至比较器的上门限电压U th+时,比较器的输出发生跳变,由低电平跳变为高电平,此后,不断重复上述过程,从而在迟滞电压比较器的输出端U o1得到方波信号,在反向积分器的输出端U o2得到三角波信号。假设稳压管反向击穿时的稳定电压为U Z,正向导通电压为U D,由理论分析可知,该电路方波和三角波的输出幅度分别为: 式(5)中R P2为电位器R P动头2端对地电阻,R P1为电位器1端对地的电阻。 由上述各式可知,该电路输出方波的幅度由稳压管的稳压值和正向导通电压决定,三角波的输 出幅度决定于稳压管的稳压值和正向导通电压以及反馈比R1/R f,而振荡频率与稳压管的稳压值和正向导通电压无关,因此,通过调换具有不同稳压值和正向 导通电压的稳压管可以成比例地改变方波和三角波的幅度而不改变振荡频率。 电位器的滑动比R P2/R P1和积分器的积分时间常数R2C的改变只影响振荡频率而 不影响振荡幅度,而反馈比R1/R f的改变会使振荡频率和振荡幅度同时发生变化。因此,一般用改变积分时间常数的方法进行频段的转换,用调节电位器滑动头 的位置来进行频段内的频率调节。

030741001《电子系统设计》课程教学大纲2010计划

《电子系统设计》课程教学大纲 课程代码:030741001 课程英文名称:Electronic system design 课程总学时:48 讲课:32 实验:16 上机:0 适用专业:电子信息科学与技术专业 大纲编写(修订)时间:2011.5 一、大纲使用说明 (一)课程的地位及教学目标 电子系统设计是电子信息科学与技术专业本科生的必修专业课之一,通过课程了解并掌握电子系统的基本构成、电子设计单元电路,特别是掌握基于单片机、CPLD、FPGA的设计方法,提高学生的综合素质,培养创新精神。 通过本课程的学习,学生将达到以下要求: 1.掌握电子系统方案设计的基本原理和方法,应用方案比较,方案论证,工作原理考核,测试方案论证,测试仪器选择,数据分析,系统总结等方法进行系统整体方案设计; 2.具有设计单元电路的能力; 3. 具有运用相关电子设计工具软件的应用能力,能使用相应软件进行实例设计; 4.具有基于硬件平台进行电子系统综合调试的能力,能够实现某些基本功能; 5.了解电子系统的最新技术和发展方向。 (二)知识、能力及技能方面的基本要求 1.基本知识:掌握电子系统设计的基本思想、原理、方法。 2.基本理论和方法:掌握包括电源设计、键盘输入、显示输出等基本电路,掌握应用单片机、CPLD、FPGA进行系统设计的基本原理和方法。 3.基本技能: 能够应用单片机、CPLD、FPGA为核心芯片进行简单系统的设计。 (三)实施说明 1.教学方法:课堂讲授中要重点对基本概念、基本方法的讲解;采用启发式教学,培养学生思考问题、分析问题和解决问题的能力;引导和鼓励学生通过实践和自学获取知识,培养学生的自学能力;增加讨论课,调动学生学习的主观能动性;讲课要联系实际并注重培养学生的创新能力,重点应放在提高工程应用的训练上。 2.教学手段:本课程属于应用技术类的专业课,教学内容中设计大量的电路设计和程序设计。在教学中应结合实际,如真实的电子器件、开发板等实物进行讲解以增加学生的感性认识,对程序设计调试等内容采用多媒体教学,以确保在有限的学时内,全面、高质量地完成课程教学任务。 (四)对先修课的要求 本课程的教学必须在完成先修课程之后进行。本课程主要的先修课程有模拟电子技术A、数字电子技术A、单片机、数字系统与VHDL。本课程将为毕业设计的学习打下良好基础。 (五)对习题课、实践环节的要求 1.对重点、难点章节应安课堂演示,结合开发板等进行现场调试等,例题的选择以培养学生消化和巩固所学知识,用以解决实际问题为目的。 2.课后作业要少而精,内容以查资料、进行实际电路设计为主,并针对学生的典型设计进行课堂讲解和讨论,分析不同设计的差别和优缺点,对设计方法要鼓励多样化。学生必须独立、按时完成课外习题和作业,作业的完成情况应作为评定课程成绩的一部分。

电子电路综合实验报告

电子电路实验3 综合设计总结报告题目:波形发生器 班级:20110513 学号:2011051316 姓名:仲云龙 成绩: 日期:2014.3.31-2014.4.4

一、摘要 波形发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都需要信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。波形发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波、三角波、方波等,因而广泛用于通信、雷达、导航等领域。 二、设计任务 2.1 设计选题 选题七波形发生器 2.2 设计任务要求 (1)同时四通道输出,每通道输出矩形波、锯齿波、正弦波Ⅰ、正弦波Ⅱ中的一种波形,每通道输出的负载电阻均为1K欧姆。 (2)四种波形的频率关系为1:1:1:3(三次谐波),矩形波、锯齿波、正弦波Ⅰ输出频率范围为8 kHz—10kHz,正弦波Ⅱ输出频率范围为24 kHz—30kHz;矩形波和锯齿波输出电压幅度峰峰值为1V,正弦波Ⅰ、Ⅱ输出幅度为峰峰值2V。(3)频率误差不大于5%,矩形波,锯齿波,正弦波Ⅰ通带内输出电压幅度峰峰值误差不大于5%,正弦波Ⅱ通带内输出电压幅度峰峰值误差不大于10%,矩形波占空比在0~1范围内可调。 (4)电源只能选用+9V单电源,由稳压电源供给,不得使用额外电源。

三、方案论证 1.利用555多谐振荡器6管脚产生8kHz三角波,3管脚Vpp为1V的8kHz的方波。 2.三角波通过滞回比较器和衰减网络产生8kHzVpp为1V的方波。 3.方波通过反向积分电路产生8kHzVpp为1V的三角波。 4.方波通过二阶低通滤波器产生8kHz低通正弦波。 5.方波通过带通滤波器产生中心频率为27kHz的正弦波。 系统方框图见图1 图1 系统方框图 此方案可以满足本选题技术指标,分五个模块实现产生所需的波形,而且电路模块清晰,容易调试,电路结构简单容易实现。

电子科技大学 实验设计方法 实验报告

电子科技大学 实 验 报 告 学生姓名:黎超群 学号: 20 指导教师:王守绪、何为 日期: 2014年5月13日

一、实验室名称: 211大楼 二、实验项目名称: 统计分析应用软件在优化试验设计中的应用 三、实验原理: 统计分析应用软件可以应用在优化试验设计中以简化运算,提高工作效率 四、实验目的: 1. 掌握“正交助手”应用软件在正交试验统计分析法中的应用 2. 熟悉Minitab、DPS统计分析应用软件在多元回归分析中的应用 3. 熟悉“均匀设计”应用软件在均匀试验设计以及分析方法中的应用 4. 加深对理论教学知识的理解 5. 更深刻理解试验设计方法在实际工作中的应用 五、实验内容: 1、用“正交设计助手”进行正交实验的极差分析和方差分析 2、用“正交设计助手”处理带交互作用的正交试验问题 3、minitab进行正交实验的方差分析 4、minitab处理多元回归分析问题 5、“均匀设计”软件解决均匀设计问题的一般流程 6、用DPS数据处理系统处理正交实验及回归分析 六、实验器材(设备、元器件): 计算机、正交设计助手软件、Minitab软件、均匀设计软件、DPS数据处理系统

七、实验步骤: Ⅰ. 用“正交设计助手”进行正交实验的极差分析和方差分析 1.点击文件→新建工程→右击未命名工程→修改工程→键入用户名→点击实验 34)→再点→新建实验→填写实验名称和描述→点击旁边选项卡选择正交表(L 9 击“因素与水平”选项卡填写实验因素和水平(图1)→软件自动完成实验安排(图2)→填写实验结果(图3)→点击分析→“直观分析”得到极差分析结果(图4)→点击“因素指标”得到各因素二元图(图5)→点击“方差分析”→选择误差列为空白列得到方差分析结果(图6)→实验Ⅰ结束 图1 图2 图3 图4 图5 图6Ⅱ. 用“正交设计助手”处理带交互作用的正交试验问题 27)→填写因素、交互作点击新建实验→填写实验名称和描述→选择正交表(L 8 用和水平(图1)→软件自动安排实验(图2)→输入实验结果(图3)→点击“直观分析”得到极差分析结果(图4)→点击“交互作用”→选择发生交互作用的A、B得到交互作用表(图5)→点击“方差分析”得到方差分析结果(图6)

电子系统设计温度控制系统实验报告

电子系统设计实验报告温度控制系统的设计 姓名:杨婷 班级:信息21 学校:西安交通大学

一、问题重述 本次试验采用电桥电路、仪表放大器、AD转化器、单片机、控制通断继电器和烧水杯,实现了温度控制系统的控制,达到的设计要求。 设计制作要求如下: 1、要求能够测量的温度范围是环境温度到100o C。 2、以数字温度表为准,要求测量的温度偏差最大为±1o C。 3、能够对水杯中水温进行控制,控制的温度偏差最大为±2o C,即温度波 动不得超过2o C,测量的精度要高于控制的精度。 4、控制对象为400W的电热杯。 5、执行器件为继电器,通过继电器的通断来进行温度的控制。 6、测温元件为铂热电阻Pt100传感器。 7、设计电路以及使用单片机学习板编程实现这些要求,并能通过键盘置入预期温度,通过LCD显示出当前温度。 二、方案论证 1、关于R/V转化的方案选择 方案一是采用单恒流源或镜像恒流源方式,但是由于恒流源的电路较复杂,且受电路电阻影响较大,使输出电压不稳定。 方案二是采用电桥方式,由电阻变化引起电桥电压差的变化,电路结构简单,且易实现。 2、关于放大器的方案选择 方案一是采用减法器电路,但是会导致放大器的输入电阻对电桥有影响,不利于电路的调节。 方案二是采用仪表放大器电路,由于仪表放大器内部的对称,使电路影响较小,调整放大倍数使温度从0到100度,对应的电压为0-5V。 三、电路的设计 1、电桥电路 通过调节电位器R3使其放大器输出端在0度的时候输出为0实现调零,然后合理选择R1、R2的阻值配合后面放大器的放大倍数实现热电阻阻值向电压值的转化。 通过调节电位器R3使其放大器输出端在0度的时候输出为0实现调零,然后合理选择R1、R2的阻值配合后面放大器的放大倍数实现热电阻阻值向电压值的转化。本次实验中:R1=R2=10KΩ,R3为500Ω的变阻器。

电子电路实验二 实验报告

实验二单管放大电路 实验报告 一、实验数据处理 1.工作点的调整 调节RW,分别使I =1.0mA,2.0mA,测量VCEQ的值。 CQ 2.工作点对放大电路的动态特性的影响 分别在ICQ=1.0mA,2.0mA情况下,测量放大电路的动态特性(输入信号vi是幅度为5mV,频率为1kHz的正弦电压),包括测量电压增益,输入电阻,输出电阻和幅频特性。 幅频特性:ICQ=1.0mA

得到幅频特性曲线如下图: ICQ=2.0mA 频率f/Hz 28 80 90 200 400 680 电压增益 18.60 47.10 51.69 88.63 116.44 128.31 |Av| 频率 0.4 0.6 0.8 1.2 2.0 2.5 f/MHz 电压增益 138.33 132.58 126.12 111.39 86.87 74.43 |Av| fL 245Hz fH 1.6MHz 得到的幅频特性曲线如下图: (注:电压增益均取绝对值,方便画图) 3.负反馈电阻对动态特性的影响 改接CE与RE2并联,测量此时放大电路在ICQ=1.0mA下的动态特性(输入信号及测试内容同上),与上面测试结果相比较,总结负反馈电阻对电路动态特性的影响。 电压增益Av 输入电阻Ri 输出电阻Ro -6.46 10792Ω3349Ω 幅频特性: 频率f/Hz 10 27 80 230 400 680 电压增益 3.83 5.61 6.25 6.41 6.42 6.43 |Av| 频率 0.1 0.5 0.7 1.0 2.0 2.8 f/MHz 电压增益 5.61 5.56 5.50 5.39 4.83 4.36

电子系统设计总结报告

电子系统设计总结报告 题目:对讲机 班级:电气 组别:第二组 指导教师: 设计时间:

对讲机 一、引言 1、选题意义 有线对讲机在日常生活中应用广泛。有线对讲机原理简单,设计方便,制作简易,成本低,对于初次进行实验设计的我们来说实验成功率高。而且,有线对讲机广泛应用于医院病员呼叫机、门铃、室内电话等,具有应用范围广,实用性强的特点,所以有线对讲机日益成为生活中不可缺少的部分。为了本次实验的顺利成功,我们首先去了解它的原理过程以及如何正确的去操作它,这样既可以在很大程度上提高我们对知识的掌握与应用,又可以提高我们的动手能力,增强我们对动手实验的兴趣。本次试验,目的既在于提高动手能力,结合理论知识与实际操作于一体,最终设计并制作出具有实用性的产品,又在于磨练个人意志,增强个人耐心,培养团队意识。在产品制作过程中,组内相互分工,互帮互助,协调一致,共同完成此次实验。通过本次实验,大家对于模拟电子技术和数字电子技术会有更好的理解与掌握,也教会大家在遇到问题时如何思考,如何发现问题、解决问题,这些对于今后的学习与研究都是有相当大的帮助的。 2、设计目标 这次实验,我们小组由产品功能出发,设计实验电路图,计算各电子元器件的值,再进行元器件调研来对不同元器件进行比较,最终选择出价格合理,性能完善并且适用于所设计的电路图的元件,再依据所设计的电路图,进行正确焊接与调试,最终得到在50米内,能进行清晰对讲的“半双工对讲机”,即在同一时刻,一方讲话,另一方在距离其50米处可以清晰听到其所讲内容,通过调节转换开关,来进行听与说的角色的相互转换。

3、小组成员分工 二、作品说明 1、功能 对讲机可用于室内电话、医院病员呼叫机、门铃等,可用YUHIHHIH米内进行对讲。本次实验制作成的对讲机为“半双工式对讲机”,即在相同时刻,主机与从机之间只有一个可以讲,而在此时刻,另一个只能听,通过一个双刀双掷开关控制讲话与听话的相互转换。 2、操作说明 操作时,按下电源开关,将控制转换的双刀双掷开关打到一侧,可以完成主机讲话,从机收听主机发送的声音信号;将控制开关打到另一侧,则可以完成从机讲话,主机接收由从机发送的声音信号。通过双刀双掷开关的转换完成主机与从机之间的交流与信息转换。当长时间不使用时,可将控制电源的开关关闭,这样可以节约电能,避免不必要的浪费。

大学物理综合设计性实验(完整)

综合设计性物理实验指导书黑龙江大学普通物理实验室

目录绪论 实验1 几何光学设计性实验 实验2 LED特性测量 实验3 超声多普勒效应的研究和应用 实验4 热辐射与红外扫描成像实验 实验5 多方案测量食盐密度 实验6 多种方法测量液体表面张力系数 实验7 用Multisim软件仿真电路 实验8 霍尔效应实验误差来源的分析与消除 实验9 自组惠斯通电桥单检流计条件下自身内阻测定实验10 用迈克尔逊干涉仪测透明介质折射率 实验11 光电效应和普朗克常数的测定液体电导率测量实验12 光电池输出特性研究实验 实验13 非接触法测量液体电导率

绪论 一.综合设计性实验的学习过程 完成一个综合设计性实验要经过以下三个过程: 1.选题及拟定实验方案 实验题目一般是由实验室提供,学生也可以自带题目,学生可根据自己的兴趣爱好自由选择题目。选定实验题目之后,学生首先要了解实验目的、任务及要求,查阅有关文献资料(资料来源主要有教材、学术期刊等),查阅途径有:到图书馆借阅、网络查询等。学生根据相关的文献资料,写出该题目的研究综述,拟定实验方案。在这个阶段,学生应在实验原理、测量方法、测量手段等方面要有所创新;检查实验方案中物理思想是否正确、方案是否合理、是否可行、同时要考虑实验室能否提供实验所需的仪器用具、同时还要考虑实验的安全性等,并与指导教师反复讨论,使其完善。实验方案应包括:实验原理、实验示意图、实验所用的仪器材料、实验操作步骤等。 2.实施实验方案、完成实验 学生根据拟定的实验方案,选择测量仪器、确定测量步骤、选择最佳的测量条件,并在实验过程中不断地完善。在这个阶段,学生要认真分析实验过程中出现的问题,积极解决困难,要于教师、同学进行交流与讨论。在这种学习的过程中,学生要学习用实验解决问题的方法,并且学会合作与交流,对实验或科研的一般过程有一个新的认识;其次要充分调动主动学习的积极性,善于思考问题,培养勤于创新的学习习惯,提高综合运用知识的能力。 3.分析实验结果、总结实验报告 实验结束需要分析总结的内容有:(1)对实验结果进行讨论,进行误差分析;(2)讨论总结实验过程中遇到的问题及解决的办法;(3)写出完整的实验报告(4)总结实验成功与失败的原因,经验教训、心得体会。实验结束后的总结非常重要,是对整个实验的一个重新认识过程,在这个过程中可以锻炼学生分析问题、归纳和总结问题的能力,同时也提高了文字表达能力。 在完成综合性、设计性实验的整个过程中处处渗透着学生是学习的主体,学生是积极主动地探究问题,这是一种利于提高学生解决问题的能力,提高学生的综合素质的教学过程。 在综合设计性实验教学过程中学生与教师是在平等的基础上进行探讨、讨论问题,不要产生对教师的依赖。有些问题对教师是已知的,但对学生是未知的,这时教师应积极诱导学生找到解决问题的方法、鼓励学生克服困难,并在引导的过程中帮助学生建立科学的思维方式和研究问题的方法。有些问题对教师也是一个未知的问题,这时教师应与学生共同思考共同解决问题。 二.实验报告书写要求 实验报告应包括:1实验目的;2实验仪器及用具;3实验原理;4实验步骤;5测量原始数据;6数据处理过程及实验结果;7分析、总结实验结果,讨论总结实验过程中遇到的问题及解决的办法,总结实验成功与失败的原因,经验教训、心得体会。 三.实验成绩评定办法 教师根据学生查阅文献、实验方案设计、实际操作、实验记录、实验报告总结等方面综合评定学生的成绩。 (1)查询资料、拟定实验方案:占成绩的20%。在这方面主要考察学生独立查找资料,并根据实验原理设计一个合理、可行的实验方案。 (2)实施实验方案、完成实验内容:占成绩的30%。考察学生独立动手能力,综合运用知识解决实际问题的能力。 (3)分析结果、总结报告:占成绩的20%。主要考察学生对数据处理方面的知识运用情况,分析问题的能力,语言表达能力。 (4)科学探究、创新意识方面:占成绩的20%。考察学生是否具有创新意识,善于发现问题并能解决问题。 (5)实验态度、合作精神:占成绩的10%。考察学生是否积极主动地做实验,是否具有科学、

相关文档
相关文档 最新文档