文档库 最新最全的文档下载
当前位置:文档库 › 10数字集成电路基本单元与版图

10数字集成电路基本单元与版图

第三章、器件 一、超深亚微米工艺条件下MOS 管主要二阶效应: 1、速度饱和效应:主要出现在短沟道NMOS 管,PMOS 速度饱和效应不显著。主要原因是 TH G S V V -太大。在沟道电场强度不高时载流子速度正比于电场强度(μξν=) ,即载流子迁移率是常数。但在电场强度很高时载流子的速度将由于散射效应而趋于饱和,不再随电场 强度的增加而线性增加。此时近似表达式为:μξυ=(c ξξ<),c s a t μξυυ==(c ξξ≥) ,出现饱和速度时的漏源电压D SAT V 是一个常数。线性区的电流公式不变,但一旦达到DSAT V ,电流即可饱和,此时DS I 与GS V 成线性关系(不再是低压时的平方关系)。 2、Latch-up 效应:由于单阱工艺的NPNP 结构,可能会出现VDD 到VSS 的短路大电流。 正反馈机制:PNP 微正向导通,射集电流反馈入NPN 的基极,电流放大后又反馈到PNP 的基极,再次放大加剧导通。 克服的方法:1、减少阱/衬底的寄生电阻,从而减少馈入基极的电流,于是削弱了正反馈。 2、保护环。 3、短沟道效应:在沟道较长时,沟道耗尽区主要来自MOS 场效应,而当沟道较短时,漏衬结(反偏)、源衬结的耗尽区将不可忽略,即栅下的一部分区域已被耗尽,只需要一个较小的阈值电压就足以引起强反型。所以短沟时VT 随L 的减小而减小。 此外,提高漏源电压可以得到类似的效应,短沟时VT 随VDS 增加而减小,因为这增加了反偏漏衬结耗尽区的宽度。这一效应被称为漏端感应源端势垒降低。

4、漏端感应源端势垒降低(DIBL): VDS增加会使源端势垒下降,沟道长度缩短会使源端势垒下降。VDS很大时反偏漏衬结击穿,漏源穿通,将不受栅压控制。 5、亚阈值效应(弱反型导通):当电压低于阈值电压时MOS管已部分导通。不存在导电沟道时源(n+)体(p)漏(n+)三端实际上形成了一个寄生的双极性晶体管。一般希望该效应越小越好,尤其在依靠电荷在电容上存储的动态电路,因为其工作会受亚阈值漏电的严重影响。 绝缘体上硅(SOI) 6、沟长调制:长沟器件:沟道夹断饱和;短沟器件:载流子速度饱和。 7、热载流子效应:由于器件发展过程中,电压降低的幅度不及器件尺寸,导致电场强度提高,使得电子速度增加。漏端强电场一方面引起高能热电子与晶格碰撞产生电子空穴对,从而形成衬底电流,另一方面使电子隧穿到栅氧中,形成栅电流并改变阈值电压。 影响:1、使器件参数变差,引起长期的可靠性问题,可能导致器件失效。2、衬底电流会引入噪声、Latch-up、和动态节点漏电。 解决:LDD(轻掺杂漏):在漏源区和沟道间加一段电阻率较高的轻掺杂n-区。缺点是使器件跨导和IDS减小。 8、体效应:衬底偏置体效应、衬底电流感应体效应(衬底电流在衬底电阻上的压降造成衬偏电压)。 二、MOSFET器件模型 1、目的、意义:减少设计时间和制造成本。 2、要求:精确;有物理基础;可扩展性,能预测不同尺寸器件性能;高效率性,减少迭代次数和模拟时间 3、结构电阻:沟道等效电阻、寄生电阻 4、结构电容: 三、特征尺寸缩小 目的:1、尺寸更小;2、速度更快;3、功耗更低;4、成本更低、 方式: 1、恒场律(全比例缩小),理想模型,尺寸和电压按统一比例缩小。 优点:提高了集成密度 未改善:功率密度。 问题:1、电流密度增加;2、VTH小使得抗干扰能力差;3、电源电压标准改变带来不便;4、漏源耗尽层宽度不按比例缩小。 2、恒压律,目前最普遍,仅尺寸缩小,电压保持不变。 优点:1、电源电压不变;2、提高了集成密度 问题:1、电流密度、功率密度极大增加;2、功耗增加;3、沟道电场增加,将产生热载流子效应、速度饱和效应等负面效应;4、衬底浓度的增加使PN结寄生电容增加,速度下降。 3、一般化缩小,对今天最实用,尺寸和电压按不同比例缩小。 限制因素:长期使用的可靠性、载流子的极限速度、功耗。

数字集成电路四个基本引脚识图方法 集成电路的引脚很多,各种用途的集成电路其各引脚的具体作用不同,所以它崐的引脚外电路也不同,这里只介绍各种集成电路共同有的输入引脚、输出引脚、直崐流电压供给(电源)引脚和接地引脚外电路一 般特征。 1.输入引脚外电路 一般集成电路都有输入引脚,这是集成电路各引脚中最基本引脚之一。对某种崐具体的集成电路有几个输入引脚,这与该集成电路的功能等情况有关。了解输入引崐脚外电路对识图和修理的具体意义如下:(1)知道信号从哪个引脚输入集成电路内部。一般情况下只要了解信号是如崐何输入集成电路的,对于信号在集成电路内部的处理只要知道结果就可以了。 (2)输入引脚电路与前面一级电路输出端电路相连。 (3)数字集成电路的输入引脚回路中,有的设置有隔直电容,有的则没有电崐容,这要根据具体的 数字集成电路情况而定。 (4)一个数字式集成电路有几个输入引脚,这几个输入引脚各输入什么信号崐要视具体集成电路而定,通常数字集成电路有多个输入引脚,而且这几个输入信号崐都正常时才能获得一个完整的输入信息。 (5)修理时,可以通过示波器来观察输入引脚上的信号波形,以判断前级电崐路工作是还正常,是否有信号加到这一集成电路中,这样可以判断集成电路工作是崐否正常。 2.输出引脚外电路 一般集成电路都有输出引脚,这也是集成电路各引脚中最基本引脚之一。了解崐输出引脚外电路对识 图和修理的具体意义如下: (1)识别了输出引脚可以知道信号通过集成电路内电路处理之后,从哪根引崐脚输出到外电路来,并可知道送到下一级电路的输入端,因为输出引脚与下一级电崐路输入端相连。 (2)数字集成电路的输出回路中,有的设置有隔直电容,有的则没有电容,崐这也是根据具体的数 字集成电路情况而定。 (3)通常数字集成电路有多个输出引脚。 (4)在修理中,为了检验信号是否已经从集成电路输出,要了解输出引脚,崐若输出引脚上的输出信号波形正常,可以说明这一集成电路工作正常,则否可以说崐明该集成电路工作不正常。 3.电源引脚 集成电路一定要有电源引脚,电源引脚用来给集成电路内电路供给直流工作电崐压,集成电路各部分内电路所需的直流工作电压都是通过电源引脚为其提供的。分崐析电源引脚对分析集成电路工作原理和修 理具有下列一些实际意义: (1)电源引脚用来为集成电路的正常工作提供直流工作电压,这一引脚必不崐可少。 (2)集成电路的电源引脚外电路情况共有四种:一是采用正极性直流电压供崐电,二是可以采用负极性的直流电压供电,三是可以采用正、负极性直流电压同时崐供电,四是采用正、负极性对称电源供电,一般单独用负极性直流电压供电的情况崐很少。所谓正、负对称电源是指正电源电压大小的绝对值等于负 电源电压大小的绝崐对值。 (3)电源引脚外电路与整机电源电路相连。 (4)分析集成电路的电源引脚对修理十分有意义,因为当怀疑集成电路不能崐正常工作时,首先要 检查的是集成电路的电源引脚。 (5)当集成电路各个引脚上均没有直流电压时,这时要检查集成电路电源引崐脚上是否有直流工作 电压,所以分析电源引脚外电路十分重要。 4.接地引脚 集成电路各部分电路接在内电路连接在一起,然后通过接地引脚与外电路中的崐地线相连,这样的引脚称为集成电路的接地引脚,没有接引脚集成电路内电路是不崐能正常工作的。

1. 集成电路是指通过一系列特定的加工工艺,将晶体管、二极管、MOS管等有源器件和阻、电容、电感等无源器件,按一定电路互连,“集成”在一块半导体晶片(硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能的一种器件。 2.集成电路的规模大小是以它所包含的晶体管数目或等效的逻辑门数目来衡量。等效逻辑门通常是指两输入与非门,对于CMOS集成电路来说,一个两输入与非门由四个晶体管组成,因此一个CMOS电路的晶体管数除以四,就可以得到该电路的等效逻辑门的数目,以此确定一个集成电路的集成度。 3.摩尔定律”其主要内容如下: 集成电路的集成度每18个月翻一番/每三年翻两番。 摩尔分析了集成电路迅速发展的原因, 他指出集成度的提高主要是三方面的贡献: (1)特征尺寸不断缩小,大约每3年缩小1.41倍; (2)芯片面积不断增大,大约每3年增大1.5倍; (3)器件和电路结构的改进。 4.反标注是指将版图参数提取得到的分布电阻和分布电容迭加到相对应节点的参数上去,实际上是修改了对应节点的参数值。 5.CMOS反相器的直流噪声容限:为了反映逻辑电路的抗干扰能力,引入了直流噪声容限作为电路性能参数。直流噪声容限反映了电流能承受的实际输入电平与理想逻辑电平的偏离范围。 6. 根据实际工作确定所允许的最低输出高电平,它所对应的输入电平定义为关门电平;给定允许的最高输出低电平,它所对应的输入电平为开门电平 7. 单位增益点. 在增益为0和增益很大的输入电平的区域之间必然存在单位增益点,即dV out/dVin=1的点 8. “闩锁”现象 在正常工作状态下,PNPN四层结构之间的电压不会超过Vtg,因 此它处于截止状态。但在一定的外界因素触发下,例如由电源或 输出端引入一个大的脉冲干扰,或受r射线的瞬态辐照,使 PNPN四层结构之间的电压瞬间超过Vtg,这时,该寄生结构中就 会出现很大的导通电流。只要外部信号源或者Vdd和Vss能够提供 大于维持电流Ih的输出,即使外界干扰信号已经消失,在PNPN四 层结构之间的导通电流仍然会维持,这就是所谓的“闩锁”现象 9. 延迟时间: T pdo ——晶体管本征延迟时间; UL ——最大逻辑摆幅,即最大电源电压; Cg ——扇出栅电容(负载电容); Cw ——内连线电容; Ip ——晶体管峰值电流。

集成电路版图设计 班级12级微电子姓名陈仁浩学号2012221105240013 摘要:介绍了集成电路版图设计的各个环节及设计过程中需注意的问题,然后将IC版图设计与PCB版图设计进行对比,分析两者的差异。最后介绍了集成电路版图设计师这一职业,加深对该行业的认识。 关键词: 集成电路版图设计 引言: 集成电路版图设计是实现集成电路制造所必不可少的设计环节,它不仅关系到集成电路的功能是否正确,而且也会极大程度地影响集成电路的性能、成本与功耗。近年来迅速发展的计算机、通信、嵌入式或便携式设备中集成电路的高性能低功耗运行都离不开集成电路掩模版图的精心设计。一个优秀的掩模版图设计者对于开发超性能的集成电路是极其关键的。 一、集成电路版图设计的过程 集成电路设计的流程:系统设计、逻辑设计、电路设计(包括:布局布线验证)、版图设计版图后仿真(加上寄生负载后检查设计是否能够正常工作)。集成电路版图设计是集成电路从电路拓扑到电路芯片的一个重要的设计过程,它需要设计者具有电路及电子元件的工作原理与工艺制造方面的基础知识,还需要设计者熟练运用绘图软件对电路进行合理的布局规划,设计出最大程度体现高性能、低功耗、低成本、能实际可靠工作的芯片版图。集成电路版图设计包括数字电路、模拟电路、标准单元、高频电路、双极型和射频集成电路等的版图设计。具体的过程为: 1、画版图之前,应与IC 工程师建立良好沟通在画版图之前,应该向电路设计者了解PAD 摆放的顺序及位置,了解版图的最终面积是多少。在电路当中,哪些功能块之间要放在比较近的位置。哪些器件需要良好的匹配。了解该芯片的电源线和地线一共有几组,每组之间各自是如何分布在版图上的? IC 工程师要求的工作进度与自己预估的进度有哪些出入? 2、全局设计:这个布局图应该和功能框图或电路图大体一致,然后根据模块的面积大小进行调整。布局设计的另一个重要的任务是焊盘的布局。焊盘的安排要便于内部信号的连接,要尽量节省芯片面积以减少制作成本。焊盘的布局还应该便于测试,特别是晶上测试。 3、分层设计:按照电路功能划分整个电路,对每个功能块进行再划分,每一个模块对应一个单元。从最小模块开始到完成整个电路的版图设计,设计者需要建立多个单元。这一步就是自上向下的设计。 4、版图的检查: (1)Design Rules Checker 运行DRC,DRC 有识别能力,能够进行复杂的识别工作,在生成最终送交的图形之前进行检查。程序就按照规则检查文件运行,发现错误时,会在错误的地方做出标记,并且做出解释。

《数字集成电路》课程教学大纲 课程代码:060341001 课程英文名称:digital integrated circuits 课程总学时:48 讲课:44 实验:4 上机:0 适用专业:电子科学与技术 大纲编写(修订)时间:2017.05 一、大纲使用说明 (一)课程的地位及教学目标 数字集成电路是为电子科学与技术专业开设的学位课,该课程为必修专业课。课程主要讲授CMOS数字集成电路基本单元的结构、电气特性、时序和功耗特性,以及数字集成电路的设计与验证方法、EDA前端流程等。在讲授基本理论的同时,重在培养学生的设计思维以及解决实际问题的能力。通过本课程的学习,学生将达到以下要求: 1.掌握CMOS工艺下数字集成电路基本单元的功能、结构、特性; 2.掌握基于HDL设计建模与仿真、逻辑综合、时序分析;熟悉Spice模型; 3.具备将自然语言描述的问题转换为逻辑描述的能力; 4. 具有解决实际应用问题的能力。 (二)知识、能力及技能方面的基本要求 1.基本知识:CMOS数字集成电路设计方法与流程;CMOS逻辑器件的静态、动态特性和Spice 模型;数字集成电路的时序以及互连线问题;半导体存储器的种类与性能;数字集成电路低功耗解决方法以及输入输出电路;数字集成电路的仿真与逻辑综合。 2.基本理论和方法:在掌握静态和动态CMOS逻辑器件特性基础上,理解CMOS数字集成电路的特性和工作原理;掌握真值表、流程图/状态机、时序图的分析方法和逻辑设计的基本思想。 3.基本技能:掌握器件与系统的建模仿真方法;具备逻辑描述、逻辑与时序电路设计能力;熟悉电路验证与综合软件工具。 (三)实施说明 1.教学方法:课堂讲授中要重点对基础概念、基本方法和设计思路的讲解;采用启发式教学,培养学生思考问题、分析问题和解决问题的能力;引导和鼓励学生通过实践和自学获取知识,培养学生的自学能力;增加习题和讨论课,并在一定范围内学生讲解,调动学生学习的主观能动性;注意培养学生提高利用网络资源、参照设计规范及芯片手册等技术资料的能力。讲课要联系实际并注重培养学生的创新能力。 2.教学手段:本课程属于技术基础课,在教学中采用电子教案、CAI课件及多媒体教学系统等先进教学手段,以确保在有限的学时内,全面、高质量地完成课程教学任务。 3.计算机辅助设计:要求学生采用电路建模语言(SPICE/HDL)和仿真模拟工具软件进行电路分析与设计验证;采用逻辑综合工具软件进行电路综合;采用时序分析工具进行时序验证。(四)对先修课的要求 本课程主要的先修课程有:大学物理、电路、线性电子线路、脉冲与逻辑电路、EDA技术与FPGA应用、微机原理及应用,以及相关的课程实验、课程设计。 (五)对习题课、实践环节的要求 1.对重点、难点章节(如:MOS反相器静态特性/开关特性和体效应、组合与时序MOS电路、动态逻辑电路、数字集成电路建模与仿真验证、数字集成电路逻辑综合)应安排习题课,例题的选择以培养学生消化和巩固所学知识,用以解决实际问题为目的。 2.课后作业要少而精,内容要多样化,作业题内容必须包括基本概念、基本理论及分析设

集成电路版图设计笔试面试大全 1. calibre语句 2. 对电路是否了解。似乎这个非常关心。 3. 使用的工具。 , 熟练应用UNIX操作系统和L_edit,Calibre, Cadence, Virtuoso, Dracula 拽可乐(DIVA),等软件进行IC版图 绘制和DRC,LVS,ERC等后端验证 4. 做过哪些模块 其中主要负责的有Amplifier,Comparator,CPM,Bandgap,Accurate reference,Oscillator,Integrated Power MOS,LDO blocks 和Pad,ESD cells以及top的整体布局连接 5. 是否用过双阱工艺。 工艺流程见版图资料 在高阻衬底上同时形成较高的杂质浓度的P阱和N阱,NMOS、PMOS分别做在这两个阱中,这样可以独立调节两种沟道MOS管的参数,使CMOS电路达到最优特性,且两种器件间距离也因采用独立的阱而减小,以适合于高密度集成,但是工艺较复杂。 制作MOS管时,若采用离子注入,需要淀积Si3N4,SiO2不能阻挡离子注入,进行调沟或调节开启电压时,都可以用SiO2层进行注入。 双阱CMOS采用原始材料是在P+衬底(低电阻率)上外延一层轻掺杂的外延层P-(高电阻率)防止latch-up效应(因为低电阻率的衬底可以收集衬底电流)。 N阱、P阱之间无space。

6. 你认为如何能做好一个版图,或者做一个好版图需要注意些什么需要很仔细的回答~答:一,对于任何成功的模拟版图设计来说,都必须仔细地注意版图设计的floorplan,一般floorplan 由设计和应用工程师给出,但也应该考虑到版图工程师的布线问题,加以讨论调整。总体原则是 模拟电路应该以模拟信号对噪声的敏感度来分类。例如,低电平信号节点或高阻抗节点,它们与输入信号典型相关,因此认为它们对噪声的敏感度很高。这些敏感信号应被紧密地屏蔽保护起来,尤其是与数字输出缓冲器隔离。高摆幅的模拟电路,例如比较器和输出缓冲放大器应放置在敏感模拟电路和数字电路之间。数字电路应以速度和功能来分类。显而易见,因为数字输出缓冲器通常在高速时驱动电容负载,所以应使它离敏感模拟信号最远。其次,速度较低的逻辑电路位于敏感模拟电路和缓冲输出之间。注意到敏感模拟电路是尽可能远离数字缓冲输出,并且最不敏感的模拟电路与噪声最小的数字电路邻近。 芯片布局时具体需考虑的问题,如在进行系统整体版图布局时,要充分考虑模块之间的走线,避免时钟信号线对单元以及内部信号的干扰。模块间摆放时要配合压焊点的分布,另外对时钟布线要充分考虑时延,不同的时钟信号布线应尽量一致,以保证时钟之间的同步性问题。而信号的走线要完全对称以克服外界干扰。 二(电源线和地线的布局问题

集成电路版图设计实验报告 班级:微电子1302班 学号:1306090226 姓名:李根 日期:2016年1月10日

一:实验目的: 熟悉IC设计软件Cadence Layout Editor的使用方法,掌握集成电路原理图设计,原理图仿真以及版图设计的流程方法以及技巧。 二:实验内容 1.Linux常用命令及其经典文本编辑器vi的使用 ①:了解Linux操作系统的特点。 ②:熟练操作如何登录、退出以及关机。 ③:学习Linux常用的软件以及目录命令。 ④:熟悉经典编辑器vi的基本常用操作。 2.CMOS反相器的设计和分析 ①:进行cmos反相器的原理图设计。 ②:进行cmos反相器的原理图仿真。 ③:进行cmos反相器的版图设计。 3.CMOS与非门的设计和分析 ①:进行cmos与非门的原理图设计。 ②:进行cmos与非门的原理图仿真。 ③:进行cmos与非门的版图设计 4.CMOS D触发器的设计和分析 ①:进行cmosD触发器的原理图设计。 ②:进行cmosD触发器的原理图仿真。 ③:进行cmosD触发器的版图设计。 5.对以上的学习进行总结 ①:总结收获学习到的东西。 ②:总结存在的不足之处。 ③:展望集成电路版图设计的未来。 三:实验步骤(CMOS反相器) 1.CMOS反相器原理图设计 内容:首先建立自己的Library,建立一个原理图的cell,其次进行原理图通过调用库里面的器件来绘制原理图,然后进行检错及修正,具体操作如下:在Terminal视窗下键入icfb,打开CIW; Tool→Library Manager; File→New→Library; 在name栏填上Library名称; 选择Compile a new techfile; 键入~/0.6um.tf; File→New→Cell view,在cell name键入inv,tool选择schematic,单击OK; 点击Schematic视窗上的指令集Add→Instance,出现Add Instance视窗; 通过Browse analogLib库将要用到的元件添加进来;

Digital IC:数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路或系统 第一章引论 1、数字IC芯片制造步骤 设计:前端设计(行为设计、体系结构设计、结构设计)、后端设计(逻辑设计、电路设计、版图设计) 制版:根据版图制作加工用的光刻版 制造:划片:将圆片切割成一个一个的管芯(划片槽) 封装:用金丝把管芯的压焊块(pad)与管壳的引脚相连 测试:测试芯片的工作情况 2、数字IC的设计方法 分层设计思想:每个层次都由下一个层次的若干个模块组成,自顶向下每个层次、每个模块分别进行建模与验证 SoC设计方法:IP模块(硬核(Hardcore)、软核(Softcore)、固核(Firmcore))与设计复用Foundry(代工)、Fabless(芯片设计)、Chipless(IP设计)“三足鼎立”——SoC发展的模式 3、数字IC的质量评价标准(重点:成本、延时、功耗,还有能量啦可靠性啦驱动能力啦之类的) NRE (Non-Recurrent Engineering) 成本 设计时间和投入,掩膜生产,样品生产 一次性成本 Recurrent 成本 工艺制造(silicon processing),封装(packaging),测试(test) 正比于产量 一阶RC网路传播延时:正比于此电路下拉电阻和负载电容所形成的时间常数 功耗:emmmm自己算 4、EDA设计流程 IP设计系统设计(SystemC)模块设计(verilog) 综合 版图设计(.ICC) 电路级设计(.v 基本不可读)综合过程中用到的文件类型(都是synopsys): 可以相互转化 .db(不可读).lib(可读) 加了功耗信息

数字集成电路专题研究 摘要:现在的电路可以分为两个方向,一个是数字,还有一个是模拟,在此更加偏重对数字方面的研究!全文一共可以分为两部分,一部分是基本的数字电路,还有一部分为较大型的集成电路。前一部分(基本数字电路)从认识数字电路开始,其间涉及到数字电路的分析方法---函数分析方法;在数字电路中分TTL 和COMS两种电路,在此报告中提到了这两种电路的电平比较关系。因COMS电路功耗低、工作电压范围宽、扇出能力强和售价低等优点,所以着重介绍一下CMOS 电路的常用特性,以及由它构成的一些常见的数字电路!而在后半部方介绍的是集成电路,从集成电路的分类到如何做好集成电路的设计;集成电路的设计分为前端和后端设计前端是指逻辑部分,后端是指物理层的设计.前端是设计内部的逻辑.后端是指假设逻辑设计已经完成,如何做出最后的芯片,涉及到芯片内部如何分区,如何布线,模拟部分,寄生效应等等.而由于专业方向这里又着重去讨论前端设计:系统集成芯片(SoC)的IC设计。同时收集了一些集成电路的设计工具。 关键字:数字电路函数表示 COMS集成电路常

见的数字电路集成电路分类 IC前端设计工具系统集成芯片SOC IC设计软件 VHDL/ Veriolg HDL 正文: 一.数字电路简介: 在电子设备中,通常把电路分为模拟电路和数字电路两类,前者涉及模拟信号,即连续变化的物理量,例如在24小时内某室内温度的变化量;后者涉及数字信号,即断续变化的物理量,开关K 快速通、断时,在电阻R 上就产生一连串的脉冲(电压),这就是数字信号。人们把用来传输、控制或变换数字信号的电子电路称为数字电路。数字电路工作时通常只有两种状态:高电位(又称高电平)或低电位(又称低电平)。通常把高电位用代码“1 ”表示,称为逻辑“1 ”;低电位用代码“0 ”表示,称为逻辑“0 ”(按正逻辑定义的)。注意:有关产品手册中常用“H ”代表“1 ”、“L ”代表“0 ”。实际的数字电路中,到底要求多高或多低的电位才能表示“1 ”或“0 ”,这要由具体的数字电路来定。例如一些TTL 数字电路的输出电压等于或小于0.2V,均可认为是逻辑“0 ”,等于或者大于3V,均可认为是逻辑“1 ”(即电路技术指标)。CMOS数字电路的逻辑“0 ”或“1 ”的电位值是与工作电压有关的。讨论数字电路

集成电路CAD 课程设计报告 一.设计目的: 1.通过本次实验,熟悉软件的特点并掌握使用软件的流程和设计方法; 2.了解集成电路工艺的制作流程、简单集成器件的工艺步骤、集成器件区域的层 次关系,与此同时进一步了解集成电路版图设计的λ准则以及各个图层的含义和设计规则; 3.掌握数字电路的基本单元CMOS 的版图,并利用CMOS 的版图设计简单的门电路, 然后对其进行基本的DRC 检查; 4. 掌握C)B (A F +?=的掩模板设计与绘制。 二.设计原理: 1、版图设计的目标: 版图 (layout ) 是集成电路从设计走向制造的桥梁,它包含了集成电路尺寸、 各层拓扑定义等器件相关的物理信息数据。版图设计是创建工程制图(网表)的精确的物理描述过程,即定义各工艺层图形的形状、尺寸以及不同工艺层的相对位置的过程。其设计目标有以下三方面: ① 满足电路功能、性能指标、质量要求; ② 尽可能节省面积,以提高集成度,降低成本; ③ 尽可能缩短连线,以减少复杂度,缩短延时,改善可能性。 2、版图设计的内容: ①布局:安排各个晶体管、基本单元、复杂单元在芯片上的位置。 ②布线:设计走线,实现管间、门间、单元间的互连。

③尺寸确定:确定晶体管尺寸(W、L)、互连尺寸(连线宽度)以及晶体管与互连之间的相对尺寸等。 ④版图编辑(Layout Editor ):规定各个工艺层上图形的形状、尺寸和位置。 ⑤布局布线(Place and route ):给出版图的整体规划和各图形间的连接。 ⑥版图检查(Layout Check ):设计规则检验(DRC,Design Rule Check)、电气规则检查(ERC,Electrical Rule Check)、版图与电路图一致性检验(LVS,Layout Versus Schematic )。 三.设计规则(Design Rul e ): 设计规则是设计人员与工艺人员之间的接口与“协议”,版图设计必须无条件的服从的准则,可以极大地避免由于短路、断路造成的电路失效和容差以及寄生效应引起的性能劣化。设计规则主要包括几何规则、电学规则以及走线规则。其中几何设计规则通常有两类: ①微米准则:用微米表示版图规则中诸如最小特征尺寸和最小允许间隔的绝对尺寸。 ②λ准则:用单一参数λ表示版图规则,所有的几何尺寸都与λ成线性比例。 设计规则分类如下: 1.拓扑设计规则(绝对值):最小宽度、最小间距、最短露头、离周边最短距离。 2.λ设计规则(相对值):最小宽度w=mλ、最小间距s=nλ、最短露头t=lλ、离周边最短距离d=hλ(λ由IC制造厂提供,与具体的工艺类型有关,m、n、l、h为比例因子,与图形类形有关)。 ①宽度规则(width rule):宽度指封闭几何图形的内边之间的距离。 ②间距规则(Separation rule):间距指各几何图形外边界之间的距离。

集成电路基础工艺和版图设计测试试卷 (考试时间:60分钟,总分100分) 第一部分、填空题(共30分。每空2分) 1、NMOS是利用电子来传输电信号的金属半导体;PMOS是利用空穴来传输电信号的金属半导体。 2、集成电路即“IC”,俗称芯片,按功能不同可分为数字集成电路和模拟集成电路,按导电类型不同可分为 双极型集成电路和单极型集成电路,前者频率特性好,但功耗较大,而且制作工艺复杂,不利于大规模集成;后者工作速度低,但是输入阻抗高、功耗小、制作工艺简单、易于大规模集成。 3、金属(metal)—氧化物(oxid)—半导体(semiconductor)场效应晶体管即MOS管,是一个四端有源器件,其四端分别是栅 极、源极、漏极、背栅。 4、集成电路设计分为全定制设计方法和半定制设计方法,其中全定制设计方法又分为基于门阵列和标准单元 的设计方法,芯片利用率最低的是基于门阵列的设计方法。 第二部分、不定项选择题(共45分。每题3分,多选,错选不得分,少选得1分) 1、在CMOS集成电路中,以下属于常用电容类型的有(ABCD) A、MOS电容 B、双层多晶硅电容 C、金属多晶硅电容 D、金属—金属电容 2、在CMOS集成电路中,以下属于常用电阻类型的有(ABCD) A、源漏扩散电阻 B、阱扩散电阻 C、沟道电阻 D、多晶硅电阻 3、以下属于无源器件的是(CD ) A、MOS晶体管 B、BJT晶体管 C、POL Y电阻 D、MIM电容 4、与芯片成本相关的是(ABC) A、晶圆上功能完好的芯片数 B、晶圆成本 C、芯片的成品率 D、以上都不是 5、通孔的作用是(AB ) A、连接相邻的不同金属层 B、使跳线成为可能 C、连接第一层金属和有源区 D、连接第一层金属和衬底 6、IC版图的可靠性设计主要体现在(ABC)等方面,避免器件出现毁灭性失效而影响良率。 A、天线效应 B、闩锁(Latch up) C、ESD(静电泄放)保护 D、工艺角(process corner)分析 7、减小晶体管尺寸可以有效提高数字集成电路的性能,其原因是(AB) A、寄生电容减小,增加开关速度 B、门延时和功耗乘积减小 C、高阶物理效应减少 D、门翻转电流减小 8、一般在版图设计中可能要对电源线等非常宽的金属线进行宽金属开槽,主要是抑制热效应对芯片的损害。下面哪些做法符合宽金属开槽的基本规则?(ABCD) A、开槽的拐角处呈45度角,减轻大电流密度导致的压力 B、把很宽的金属线分成几个宽度小于规则最小宽度的金属线 C、开槽的放置应该总是与电流的方向一致 D、在拐角、T型结构和电源PAD区域开槽之前要分析电流流向 9、以下版图的图层中与工艺制造中出现的外延层可能直接相接触的是(AB)。 A、AA(active area) B、NW(N-Well) C、POLY D、METAL1

《集成电路版图设计》 学院:_____________ 专业班级:_____________ 学号:_____________ 学生姓名:_____________ 指导教师:_____________

摘要 什么是集成电路?把组成电路的元件、器件以及相互间的连线放在单个芯片上,整个电路就在这个芯片上,把这个芯片放到管壳中进行封装,电路与外部的连接靠引脚完成。 什么是集成电路设计?根据电路功能和性能的要求,在正确选择系统配置、电路形式、器件结构、工艺方案和设计规则的情况下,尽量减小芯片面积,降低设计成本,缩短设计周期,以保证全局优化,设计出满足要求的集成电路。 《集成电路版图设计》基于Cadence软件的集成电路版图设计原理、编辑和验证的方法。本次实验是基于Cadence版图设计软件平台,采用L50C7工艺库,设计一个运算放大器,并且,为了防止电路中各元件间产生闩锁效应,在实际生产流片中每个元件都应该添加保护环,以防止各元件间电流之间产生各种影响。并且增加电路的稳定性和可靠性。 电路的验证采用的是Calibre验证工具,对电路版图进行了DRC验证和LVS验证。 关键词:Calibre,运算放大器

目录 一、电路设计流程 (1) 二、版图的制作流程 (2) 三、二级运算放大器的原理图 (3) 四、器件尺寸的计算 (4) 五、二级运算放大器原理图 (5) 六、二级运算放大器版图 (9) 心得体会 (11) 参考文献 (12)

一、电路设计流程

二、版图的制作流程 由于设计目标已经电路的构造课本已经讲述的十分详细。 所以我讲接着阐述版图的制作过程。首先将电路图转为相应的版图, 意思就是把相对 应的器件进行布局布线。因制造工艺精度有限,所以版图必须满足一定的规则要求。 按照设计规则布局布线后,接着就要对它进行检查。由于版图是人工布局布线,因此 或多或少的存在一些错误。这时就需要软件来进行“设计规则检查”(DRC )。软件所依 据的是DRC 文件,它与画版图时使用的规则是一致的,只不过规则文件是给版图设计 者参考使用的,而DRC 文件是由软件编写的。 当版图没有了DRC 错误,完全符合设计规则之后,再依靠LVS 文件,将其与电路原理 图进行比较。若有不同之处,LVS 将进行报错,经过修改之后还要重复DRC 、LVS 过程。 若两者相同,说版图与原理图一致。到这一步就完成了版图的制作了。完成版图之后, 还可以利用工具提取版图中的寄生参数,对包含这些寄生参数的电路再次进行仿真, 从而更准确确定电路的性能。 最后把图形格式的版图文件转换为通用二进制文件(GDS 文件),提交给生产厂制造。

赛微电子网更多电子资料请登录赛微电子网https://www.wendangku.net/doc/e917593231.html, 实验32 数字集成电路版图提取 数字集成电路产品应用领域十分广泛,数字集成电路的设计技术已经成熟。集成电路反向设计是一种重要的集成电路设计方法,数字集成电路版图的反向提取是数字集成电路反向设计方法中的重要关键环节之一。 本实验要求学生独立对给定的CMOS数字集成电路单元版图,完成电路的反向提取、绘制整理和功能分析等工作。通过对CMOS数字集成电路单元版图的反向提取实验,锻炼和提高了学生对半导体器件和数字集成电路版图的认知能力和对电路整理与结构优化技能,培养学生对数字集成电路反向设计思想的理解,加强了学生灵活运用所学“半导体物理”、“场效应器件物理”、“数字集成电路设计”和“集成电路制造技术”等理论知识的能力。 一、实验原理 1. CMOS工艺简介 在现代集成电路工艺技术中,CMOS工艺技术占据重要位置,得到了广泛的应用。P型衬底N阱CMOS工艺的主要工艺技术包括有:氧化技术、光刻技术、刻蚀技术、离子注入技术和淀积技术等。各种工艺技术多次出现,达到了对半导体器件和集成电路图形的逐一加工处理。最终形成了图形化的半导体器件和集成电路。 氧化技术用于生长氧化层,包括干氧、湿氧等主要方法,氧化层主要作用有:栅绝缘介质、杂质掩蔽和隔离保护等。光刻技术是通过紫外光或电子束对涂有光刻胶的衬底进行照射,利用光刻胶在光照前后溶解性的变化,实现光刻掩膜版到衬底上的图形转移,为后续加工工艺开设窗口。刻蚀技术是采用化学或物理的方法对一定区域的材料进行腐蚀的技术,是实现对多余材质进行去除的一项技术。离子注入是通过加速杂质离子并将杂质离子打入靶材料的一种掺杂技术。可以实现P型和N型杂质的掺入。淀积技术是通过物理化学方法在基片上生长材料的一种技术。可以实现多晶硅栅材料的生长等。 2. MOS晶体管认知 在P型衬底N阱CMOS工艺条件下,NMOS器件直接制作在衬底材料上,PMOS器件制作在N阱中。在数字集成电路版图的照片中,NMOS管阵列和PMOS 管阵列一般分别制作在不同的区域,PMOS管阵列制作在一个或多个N阱内,NMOS管阵列制作在一个或多个区域。这一点在照片中可以明显地区分开来。PMOS管阵列包括加大的N阱和多个较小的P型有源区,NMOS管阵列则只包

北京工业大学集成电路板图设计报告 姓名:张靖维 学号:12023224 2015年6 月1日

目录 目录 (1) 1 绪论 (2) 1.1 介绍 (2) 1.1.1 集成电路的发展现状 (2) 1.1.2 集成电路设计流程及数字集成电路设计流程 (2) 1.1.3 CAD发展现状 (3) 2 电路设计 (4) 2.1 运算放大器电路 (4) 2.1.1 工作原理 (4) 2.1.2 电路设计 (4) 2.2 D触发器电路 (12) 2.2.1 反相器 (12) 2.2.2 传输门 (12) 2.2.3 与非门 (13) 2.2.4 D触发器 (14) 3 版图设计 (15) 3.1 运算放大器 (15) 3.1.1 运算放大器版图设计 (15) 3.2 D触发器 (16) 3.2.1 反相器 (16) 3.2.2 传输门 (17) 3.2.3 与非门 (17) 3.2.4 D触发器 (18) 4 总结与体会 (19)

1 绪论 随着晶体管的出现,集成电路随之产生,并极大地降低了电路的尺寸和成本。而由于追求集成度的提高,渐渐设计者不得不利用CAD工具设计集成电路的版图,这样大大提高了工作效率。在此单元中,我将介绍集成电路及CAD发展现状,本次课设所用EDA工具的简介以及集成电路设计流程等相关内容。 1.1 介绍 1.1.1集成电路的发展现状 2014年,在国家一系列政策密集出台的环境下,在国内市场强劲需求的推动下,我国集成电路产业整体保持平稳较快增长,开始迎来发展的加速期。随着产业投入加大、技术突破与规模积累,在可以预见的未来,集成电路产业将成为支撑自主可控信息产业的核心力量,成为推动两化深度融合的重要基础。、 1.1.2集成电路设计流程及数字集成电路设计流程 集成电路设计的流程一般先要进行软硬件划分,将设计基本分为两部分:芯片硬件设计和软件协同设计。芯片硬件设计包括:功能设计阶段,设计描述和行为级验证,逻辑综合,门级验证(Gate-Level Netlist Verification),布局和布线。模拟集成电路设计的一般过程:电路设计,依据电路功能完成电路的设计;.前仿真,电路功能的仿真,包括功耗,电流,电压,温度,压摆幅,输入输出特性等参数的仿真;版图设计(Layout),依据所设计的电路画版图;后仿真,对所画的版图进行仿真,并与前仿真比较,若达不到要求需修改或重新设计版图;后

实验室提供的常用TTL 器件如下: 附录:常用数字集成电路管脚排列及逻辑符号 1A 1B 1Y 2A 2B 2Y 4A 4B 4Y 3A 3B 3Y GND V 图D-1 74LS00四2输入与非门 图D-2 74LS01四2输入与非门(OC ) 8 9 10 11 1 2 12 13 3 14 4 5 6 7 4Y GND 4A 5Y 6A 6Y 5A V CC 1A 1Y 2A 2Y 3A 3Y 。 1。 1 。 1 。 1 。 1 。 1 图D-3 74LS02四2输入或非门 图D-4 74LS04六反相器 8 910 11 121213 314 4567GND & & & & 1A 1B 1Y 2A 2B 2Y 4A 4B 4Y 3A 3B 3Y V CC 8 9 10 11 1212 13 314 4567 1C 1Y 3C 3B 3A 3Y 1A 1B 2A 2B 2C 2Y GND Vcc 。&&&。。 图D-5 74LS08四2输入与门 图D-6 74LS10三3输入与非门 8 9 10 11 1111 2 2 3 3 4 4 5 6 7 Vcc 2D 2C 2B 2A 2Y 1A 1B 1C 1D 1Y GND 。 &。 & N N 1A 1B 2Y 2A 2B 3Y 3A 3B 4Y 4A 4B GND V CC 8 9 10 11 1111 2 2 3 3 4 4 5 6 7 1Y 1 1 1 1 ≥≥≥≥ 图D-7 74LS20双4输入与非门 图D-8 74LS32四2输入或门

8 9 10 11 1212 13 314 45 6 7 ≥1 。 A C D E F N GND N N B H G Y V CC D R S D Q 2D R 1 1D 1CP 1 1Q 1 S D Q GND D Q CP 8 9 10 11 1111 2 2 3 3 4 4567 Q O O D Q CP Q O O D R D S D D R S Vcc 2 2D 2CP 2 2Q 图D-9 74LS54 4路2-2-2-2输入与或非门 图D-10 74LS74双上升沿D 型触发器 1A 1B 2Y 2A 2B 3Y 3A 3B 4Y 4A 4B GND V CC 8 9 10 11 1111 2 2 3 3 4 4 5 6 7 1Y =1 =1 =1 =1 9 10 11 1111 2 2 3 3 4 45678 115 6 Vcc D 2R D 22K 21J 22Q 1R CP CP 1K 1J S D 11Q 1Q 2Q GND K J CP D D Q Q R S S D 。 。 。。。 。 K CP J D R D S Q Q 图D-11 74LS86四2输入异或门 图D-12 74LS112双下降沿J-K 触发器 8 9 10 11 1 2 12 13 3 14 4 5 6 7 GND 1E 1A 1Y 2E 2A 2Y 4E 4A 4Y 3E 3A 3Y V CC EN 1EN 1 EN 1 EN 1 9 10 11 111122 3 3 4 4 5 6 7 8 115 6 Y 0 Vcc Y Y Y Y Y Y 1 2 3 4 5 6 S S Y GND 3 2 1 S A A A 012 7Y 0 Y Y Y Y Y 1 2 3 45 S S Y S A A 12 71 3 2 A 0 Y 6。 。 。 。 。 。 。 。 。 。 图D-13 74LS126四总线缓冲器 图D-14 74LS138 3线-8线译码器 9 10 11 1212 13 314 4567815 16 I 4。I 0 GND V CC I 5I 6I 7I 0 I 1 I 2 I 3 Y 0 Y 1Y 2Y S Y EX S I 1 I 2 I 3 I 4 I 5 I 6 I 7 Y 0 Y 1 Y 2 Y S Y EX S(E)。。。。。。 。。。。。。。 9 10 11 111122 3 3 4 4 5 6 7 8 115 6D 4V C C D D D A A A 56 7 1 2 GND D D D 321D 4D D D A A 56701D W D D 2 1 D 3A 2S ( E ) W S (E )D 0W W 。 。 图D-15 74LS148 8线-3线优先编码器 图D-16 74LS151 8选1数据选择器 9 10 11 1 2 12 13 314 45678 15 16 1D 3GND V CC 1D 21D 11D 0A 0 A 11W 2S 2D 3 2D 2 2D 1 2D 0 2W . . 。 。 A 0 2S 2D 32D 2 2D 1 2D 02W 1D 3 1D 2 1D 1 1D 0 A 11W A 0A 1 1S 9 10 11 12 13 123 14 45678 16 15 GND CR LD CP ENT O O Q CC Q 0Q 1Q 2 Q 3 D 0D 1 D 2D 3ENP D 0D 1D 2D 3ENP V CC Q CC Q 0 Q 1 Q 2 Q 3 ENT LD CP CR 图D-17 74LS153双4选1数据选择器 图D-18 74LS161 4位二进制同步计数器 9 10 11 1212 13 314 45678 15 16 CR GND V CC D SR M 1 CP Q 4 Q 3 M 0 D L D 4Q 1 Q 2 D 3D 2D 1CR Q Q Q Q CP 1 2 3 4 S 1 S 0 D SR D D D D D L 。 1 2 3 4 8 9 10 11 111122 3 34 45 6 7 CT/LD Qc C A QA V CC Q D D B Q B Cr QD Q B QB Qc C A QA CPB GND C r CP A CP B CT /LD CP A 。 。 。 图D-19 74LS194 4位双向移位寄存器 图D-20 74LS196二-五-十进制计数器

相关文档
相关文档 最新文档