文档库 最新最全的文档下载
当前位置:文档库 › 电子技术课程设计报告--电子秒表的设计

电子技术课程设计报告--电子秒表的设计

电子技术课程设计报告--电子秒表的设计

一、设计目的

本次课程设计的目的是设计一款电子秒表,实现具备暂停和复位功能的计时功能。通过设计本次课程,我将学习到如何运用单片机实现计时功能,同时也将提高自己的电子设计能力。

二、设计原理

本次设计采用AT89S52单片机作为控制芯片,通过单片机控制数码管的显示,完成对时间的计时和显示功能。下面详细介绍如何实现设计的计时功能。

1、初始化:将计时器寄存器清零,并设置定时器为8位计时模式,同时设置时钟源为频率为12MHz的晶振。

2、启动计时:将定时器计数器初始值设置为0,同时启动计时器。

3、计时:定时器开始工作后,每过1ms,计时器的值就会加1,当计时器的值达到255时,定时器会自动清零,并触发定时溢出中断。

4、显示:将计时器的值传入程序中,经过处理后,将时间转化为时、分、秒、毫秒等信息,并通过数码管的显示完成时间的显示。

5、暂停功能:通过按下暂停键,可以停止计时器的工作,并记录下当前的计时时间。

6、复位功能:通过按下复位键,可以将计时器的值清零,并停止计时器的工作。

三、硬件设计

1、主控芯片

AT89S52单片机:采用AT89S52单片机作为控制核心,具有一定的处理能力和存储容量,能在实现计时功的同时,还实现一些其他的控制功能。

2、显示模块

数码管:本设计采用了4位共阴数码管,能够完成对时间的显示。

3、按键模块

本设计采用了两个按键,一个用于暂停功能,一个用于复位功能。

4、电源模块

本设计采用了12V电源转接头,将12V电源转化为单片机和数码管所需要的5V电压。

5、其他零件

晶振:采用12MHz的晶振作为单片机的时钟源。

电容:采用22pF电容和晶振配对使用,稳定时钟信号。

四、软件设计

本设计采用Keil C51软件进行开发。软件编写的主要思路如下:

1、GPIO配置:定义IO口及初始化相关设置,如数码管的引

脚及输出方向。

2、时钟初始化:配置外部晶振的时钟源,并初始化相应的寄

存器。

3、定时器初始化:设定中断周期,选择定时器的计数模式,

启动定时器,并设置中断优先级。

4、按键检测:通过扫描IO口状态,检测按键的状态,并响

应相应的按键事件。

5、计时功能:在定时器中断实现中,每一次定时中断进行计

时器的计数,并将计数结果传入到数码管显示程序进行显示。

6、数码管显示:将计时器的计数结果通过程序转换为时、分、

秒、毫秒等信息,再将信息传入数码管驱动程序实现显示。

7、暂停功能:在按下暂停键后,将计时器的计数结果存储起来,并停止计时器。

8、复位功能:在按下复位键后,将计时器的值清零,并停止计时器。

五、总结

通过本次课程设计,我掌握了单片机的基本使用方法,同时也提高了自己的电子设计能力。本设计虽然是一个较为简单的设计,但还是采用了诸多电子元器件,对于我掌握并了解电子元器件的作用和设计原理具有很好的帮助。此外,本次设计也加强了我对于团队合作的理解,同时也弥补了我在前期的一些知识漏洞。

秒表课程设计报告

单片机课程设计报告 秒表 专业班级: 姓名: 学号: 指导老师:

课程设计任务书 题目:电子秒表的设计与制作 姓名: 班级: 指导教师: 设计要求: (1)计数精度可达1/100秒 (2)可显示时间99.99秒 (3)具有开关可启动,暂停,清零功能 选作:设计可改变计时时间(最大59.99秒)的电路设计主要任务: (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料

摘要 时钟,自从它发明的那天起,就成为了人类的朋友,但随着时间的推移,科学技术的不断发展,人们对时间计量的精度要求越来越高,应用越来越广。这就要求人们不断设计出新型时钟。 本次设计主要用单片机内部的定时/计数器来实现电子时钟的方法,本设计由单片机STC89S52芯片和LED数码管为核心,辅以必要的电路,构成了一个单片机电子时钟,具有秒表、时钟功能。其中带有四个按键分别带有秒表的控制,时钟的调整功能。 关键词:单片机、数码管、中断

目录 一、设计要求 (5) 二、方案论证 (5) 2.1总方案设计方框图 (5) 2.2方案选择 (5) 三、理论设计 (6) 3.1用CAD软件绘制的硬件原理图 (6) 3.2工作原理的分析 (6) 3.3软件流程图 (7) 3.4程序清单 (8) 四、电路仿真及仿真结果分析 (10) 4.1电路仿真 (10) 4.2仿真结果分析 (12) 五、测试方案与测试结果 (12) 5.1测试方案 (12) 5.2测试结果 (13) 六、作品功能和使用说明 (13) 6.1作品功能 (13) 6.2使用说明 (13) 七、心得体会 (13) 7.1设计中遇到的问题 (13) 7.2结束语: (13) 参考文献 (14) 附录 (15)

微机原理课程设计报告(电子秒表)

电子与控制工程学院 计算机原理与接口技术 课 程 设 计 姓名高强强 学号3206100232 专业建筑设施智能技术 设计题目可任意启动停止的电子秒表 指导老师傅攀峰 目录 1电子秒表课程设计要求 (1)

2关键字 (1) 3摘要 (1) 4综合实验目的 (1) 5引言 (1) 5.1.1使用各个芯片的作用及工作原理 (2) 5.1.2 8253可编程外围芯片介绍 (3) 5.1.3锁存器74ls273 (4) 5.1.4译码器74154 (7) 5.1.5七段数码管显示 (8) 6程序流程图 (10) 7程序源程序 (11) 8程序及硬件调试图 (15) 9设计心得 (17) 10参考文献 (18) 可任意启动/停止的电子秒表 一、电子秒表课程设计要求 6位LED数码显示,计时单位为1/100秒。利用功能键进行启/停控制。其功能为:上电后计时器清0,当第一次(或奇数次)按下启/停键时开始计数。第2次(或偶数次)按下该键时停止计时,再一次按启/停键时清零后重新开始计时。 二、关键字 8086 8255 8253 控制字 三、摘要 用汇编语言设计数字秒表,用PROTUES仿真软件搭建的硬件电路上正确显示,并且具有开始停止清零功能。用8086作为处理器,8253做定时功能产生0.01S的脉冲,8255具备锁存和并行输出功能,驱动7段数码管正确显示,并且具有足够高的精度。。 四、综合实验目的:

通常,学习知识是由浅入深、由此及彼,一点点的学习和积累的,而应用知识则是综合运用所积累的知识来分析和解决实际问题、从知识的系统性来检验对各层次知识的掌握程度。 综合实验的目的是让学生把理论学习和实验教学阶段所掌握的知识通过一个设计实例,经历一次理论和实践结合、软件和硬件结合的综合训练,也是一次工程实践能力的检验。这次综合实验大家应当把它作为毕业设计的预演。 锻炼通过各种媒体和途径主动获取知识的能力。 五、引言 使用8253的通道0 工作在方式0计数,,并选用二进制技术,控制字36H,计数初值500,对1MHZ的CLK计数产生2000HZ的输出脉冲给1,2通道的CLK,通道一选用二进制计数即计数结束中断方式0,初值20产生非屏蔽中断0.01S非屏蔽中断送给8086的NMI产生中断的脉冲,8086开始计数即毫秒位计数并显示,并与10比较,等于给分秒位加一,毫秒位置清零,分秒位与6比较若相等清零秒各位加一,秒个位与10比较若等于清零秒十位加一,秒十位与6比较若等于清零分个位加1,分个位与10比较若等于清零分十位加一,分十位与6比较若等于全部清零。 1、使用各芯片的作用及工作原理 1)定时器/计数器8253 用系统8253定时器提供的1MHZ定时单位,设计秒表定时程序。 有关系统定时方法:PC机系统中的8253定时器0工作于方式3,外部提供一个时钟作为CLK信号, 频率:f=1MHz。 定时器0输出方波的频率:2000 Hz。 定时器1输出方波100HZ,输出方波周期Tout=1/100=1ms。8253A每隔1ms引起一次中断,作为定时信号。可用1ms作基本计时单位。 253的引脚图及硬件连接图如下图示:

电子技术课程设计报告——数字秒表

电子技术课程设计报告 学院: 专业班级: 学生姓名: 学号: 指导教师: 成绩:

数字秒表设计报告 一. 设计要求 1.具有启动、暂停/继续、清零功能; 2.用6个数码管分别显示百分秒、秒和分钟。 二. 设计的作用、目的 通过对数字秒表的设计,进行理论与实际的结合,提高与计算机有关设计能力,提高分析、解决计算机技术实际问题的能力。 三.设计的具体实现 1.系统概述 简单介绍系统设计思路与总体方案的可行性论证,各功能块的划分与组成,全面介绍工作过程或工作原理。 综合各种报警器的优缺点,并根据本设计要求及性能指标,兼顾可行性、可靠性和经济性等各种因素,确定数字秒表主要组成部分的方框图如图1所示。由555多谐振荡器构成的脉冲发生器,由74LS160构成的计数器,还有LED显示器,以及由开关构成的具有清零,启动,暂停继续功能的控制按键电路。 数字式秒表,就需要显示数字。根据设计要求,要用数码管来做显示器。 题目要求最大记数值为99分59.99秒,则需要一个7段数码管作为秒位(有小数点〉和三个7段数码管作为分秒位。要求计数分辨率为0.01秒,那么我们需要相应频率 l 的信号发生器。秒表核心部分——计数器,此次选择74LS160计数器。 它具有同步置数和异步清零功能。主要是利用它可以十分频的功能。 选择信号发生器实现电路时可以有多种方案: 方案一:采用集成电路555定时器与电阻和电容组成的多谐振荡器; 方案二:一种是用晶体振荡器。石英晶振荡器精度很高,一般都需要多级分频。 技术性比较: 由于我们的实验设计是设计一个简易的数字秒表,分辨率为0.01,石英晶振荡器的精度较555定时器较高。

电子秒表课程设计

电子秒表课程设计 Document serial number【NL89WT-NY98YT-NC8CB-NNUUT-NUT108】

电子秒表 摘要 电子秒表是一种用数字电路技术实现时、分、秒计时的装置,无机械装置,具有较 长的使用寿命,因此得到了广泛的使用。它从原理上讲是一种典型的数字电路,其中包括 了组合逻辑电路和时序电路。本次实验所做电子式秒表由信号发生系统和计时系统构成,并 具有清零,暂停功能。由于需要比较稳定的信号,所以信号发生系统555定时器与电阻和电 容组成的多谐振荡器构成,信号频率为100HZ。计时系统由计数器、译码器、显示器组 成。计数器由74 LS160构成,由十进制计数器组成了一百进制和六十进制计数器,采用异 步进位方式。译码器由74LS48构成,显示器由数码管构成。清零,暂停功能由RS触发器构 成防抖动开关。具体过程为:由晶体震荡器产生100HZ脉冲信号先进入计数器,然后传入 译码器,将4位信号转化为数码管可显示的7位信号,结果以“分”、“秒”、“10毫 秒”依次在数码管显示出来。该秒表最大计时值为59分秒,“10毫秒”为一百进制计数 器组成,“分”和“秒”为六十进制计数器组成。 关键词:计时精度计数器显示器 Abstract Electronic stopwatch is the realization of a digital circuit technology,.It can realize the hour, minute, second does not have mechanical means and has a longer life, so it has been widely used. The principle is a typical digital circuit, which includes a combination logic circuit and a timing circuit. The experiments can be done by electronic stopwatch constituted by the signal system and timing system, and has cleared pause function. Due to the need of a more stable signal, the signal generating system is constituted by the 555 Timer with the resistors and capacitors, and the signal frequency is 100Hz. Timing system contains the counter, decoder, display. Counter 74 LS160 constituted by the decimal counter the decimal and sexagesimal counter, which uses asynchronous binary. The decoder from 74LS48 constitute display digital tube constitute Cleared, the pause function by the RS flip-flop. Its specific process: the 100Hz pulse signal generated by the crystal oscillator and first into the counter, and then the incoming decoder, a 4-bit signal is converted to 7-bit signal of the digital control can be displayed, the result by "minute", "second", "10 milliseconds" turn on the digital display. The stopwatch timing is 59 minutes, seconds, 10 milliseconds is the 150 binary counter, "minute" and "second" is the six decimal counter. Keyword:Timing accuracy counter display

《电子秒表》电子课程设计报告

《电子秒表》电子课程设计报告 专业:自动化 班级:2009级3班 姓名:寇鹏飞 指导教师:李明媚 2011年10 月12 日

目录 1 课程设计目的 (1) 2 课程设计题目的描述和要求 (1) 3 课程设计报告内容 (1) 4 总结 (6)

1.课程设计目的 学习数字逻辑电路中脉冲信号发生器、闸门控制电路、计数器等单元电路的综合应用。 学习掌握NE555芯片、SN74S112芯片、74LS161芯片、SN74LS00芯片、SN74LS08芯片的使用方法,了解其在各自单元电路和总电路中起的作用。 熟悉Multisim软件中各元器件所在位置,电路搭建方法,电路仿真程序。 学习电子课程设计流程,运用word软件撰写设计报告,通过提交报告进行答辩为毕业设计打下准备。 2.课程设计题目的描述和要求 设计一个采用中小规模集成电路构成的电子秒表,具体指标如下: 1.准确计时,计数分辨率为0.01S。 2.秒表由4位数码管显示,计时周期为60S,显示满刻度为59.99S。 3.具有清零、启动计时和停止计时的控制功能。 3.课程设计报告内容 3.1 总体设计方案和总方框图 如题可知,电子秒表应由三部分单元电路组成,分别是脉冲信号发生器、计数器、闸门控制电路。其中,脉冲信号发生器用来产生周期为0.01S的方波,给计数器输入脉冲;计数器由4位数码管组成,实现计时周期为60S,显示满刻度为59.99S功能;闸门控制电路用来控制计数器电路清零,控制脉冲信号发生器启动和停止。

3.2 单元电路及工作原理 (1)脉冲信号发生器 该脉冲信号发生器是采用集成电路555定时器与电阻、电容(100nF和10nF 各一个)、二极管组成的多谐振荡器。 图1 555多谐振荡器 如图,由555定时器和外接元件R、C 1 、C 2 ,以及两个二极管构成多谐振荡 器。电路存在两个暂稳态,利用电源V CC 通过R、二极管向C 1 充电,C 1 通过R放电,使电路产生振荡。 确定参数:T 1 =RC 1 ln2, T 2 = RC 1 ln2, T=T 1 +T2=0.01S 得到R=72.1KΩ。 (2)计数器

电子秒表设计实验报告

淮阴工学院 《数字电子技术》课程实验期末考核 2014-2015学年第2学期实验名称:电子秒表电路的设计 班级: 学号: 姓名: 学院:电子与电气工程学院 专业:自动化 系别:自动化 指导教师:《数字电子技术》实验指导教师组成绩: 2015年07月

电子秒表电路的设计 一、实验目的 1 .学习数字电路中基本RS 触发器、单稳态触发器、时钟发生器及计数、译码显示等单元电路的综合应用。 2 .学习电子秒表的调试方法。 二、实验原理 图11 -1 为电子秒表的电原理图。按功能分成四个单元电路进行分析。

1.基本RS 触发器 图11 -1 中单元I 为用集成与非门构成的基本RS 触发器。属低电平直接触发的触发器,有直接置位、复位的功能。 它的一路输出作为单稳态触发器的输入,另一路输出Q 作为与非门5 的输入控制信号。 按动按钮开关K2(接地),则门1 输出=1 ;门2 输出Q =0 ,K2复位 后Q 、状态保持不变。再按动按钮开关K1 , 则Q 由0 变为1 ,门5 开启, 为计数器启动作好准备。由1 变0 ,送出负脉冲,启动单稳态触发器工作。 基本RS 触发器在电子秒表中的职能是启动和停止秒表的工作。 2. 时钟发生器 图11 -1 中单元Ⅲ为用555 定时器构成的多谐振荡器,是一种性能较好的 时钟源。 调节电位器R W,使在输出端3 获得频率为50HZ 的矩形波信号,当基本RS 触发器Q =1 时,门5 开启,此时50HZ 脉冲信号通过门5 作为计数脉冲加于计数器①的计数输入端CP2。

图11-2 单稳态触发器波形图图11-3 74LS90引脚排列 3.计数及译码显示 二—五—十进制加法计数器74LS90 构成电子秒表的计数单元,如图11 -1 中单元Ⅳ所示。其中计数器①接成五进制形式,对频率为50HZ 的时钟脉冲进行五分频,在输出端Q D取得周期为0.1S 的矩形脉冲,作为计数器②的时钟输入。计数器②及计数器③接成8421 码十进制形式,其输出端与实验装置上译码显示单元的相应输入端连接,可显示0.1 ~0.9 秒;1 ~9 秒计时。 注:集成异步计数器74LS90 74LS90 是异步二—五—十进制加法计数器,它既可以作二进制加法计数器,又可以作五进制和十进制加法计数器。

电子技术课程设计报告--数字电子秒表设计

目录 第一章引言 (1) 1.1 设计目的 (1) 1.2 设计任务内容 (1) 1.3 设计要求 (1) 第二章数字电子秒表设计 (3) 2.1 仪器设备: (3) 2.2 电子秒表的基本组成和工作原理 (3) 2.2.1 电子秒表电路的基本组成框图 (3) 2.2.2 电子秒表设计电路图 (3) 2.3 芯片简介 (5) 2.4 PCB图及覆铜后的Top Layer和Bottom Layer (7) 2.5各种仿真图 (10) 第三章结论 (14) 参考文献: (15)

第一章引言 随着电子技术的发展,电子技术在各个领域的运用也越来越广泛。人们对它的认识也逐步加深。在秒表的设计上功能不断完善,在时间的设计上不断的精确,人们也利用了电子技术以及相关的知识解决了一些实际问题。 电子秒表广泛应用于对运动物体的速度、加速度的测量实验,还可用来验证牛顿第二定律、机械能守恒等物理实验,同时也是用于对时间测量精度要求较高的场合。秒表有机械秒表和电子秒表两类。机械秒表与机械手表相仿,但具有制动装置,可精确至百分之一秒;电子秒表用微型电池做能源,电子元件测量显示,可精确至千分之一秒,广泛用于科学研究、体育运动及国防等方面。在当今非常注重工作效率的社会环境中,定时器能给我们的工作、生活以及娱乐带来很大的方便。随着集成技术的发展,尤其是中、大规模和超大规模集成电路的发展,数字电子技术的应用范围将会更广泛地渗透到国民经济的各个部门,并将产生越来越深刻的影响。随着现代社会的电子技术的迅速发展,要求我们要理论联系实际,数字电路课题设计的进行使我们有了这个好机会,通过这种综合性的训练,我们的动手能力、实际操作能力、综合知识应用能力得到了更好的提升。 本设计是基于数字电路和模拟电路的电子秒表设计思路及实现方法。本设计中,充分利用数字电路的计数、现实的优良特性,使整个设计达到了比较满意的效果。 1.1 设计目的 1.1.1 巩固、深化和扩展理论知识与初步的专业技能。 1.1.2 熟悉计数器的级联及计数、译码、显示电路的整体配合。 1.1.3 建立分频的基本概念。 1.2 设计任务内容 1.2.1 完成数字电子秒表的设计,绘出电路原理图。 1.2.2 搭接电子秒表整体实验电路。 1.2.3 调教0.01秒信号源。 1.2.4 测试电子秒表清零、开始计时、停止计时功能。 1.3 设计要求

电子秒表的设计

指导教师(签字):教研室主任(签字): 年月日

课程名称:EDA技术课程设计 设计题目:电子秒表的设计 使用班级:电信101-2 指导教师:王涛、杨红娟一、设计要求 1.根据已知设计要求分析电子秒表的设计功能,确定电子秒表的设计方法,画出流程图,编写实现程序,并进行调试,完成系统软件设计。 2.基本教学要求:每人一台计算机,计算机安装Quartus II、visio等软件。 二、设计步骤 1.理论依据 根据设计要求分析电子秒表的设计功能,掌握设计中所涉及到的时基分频器,二十四进制、六十进制计数器;多位共阳极数码管扫描显示;状态机设计、Verilog HDL语言的编程技术,阐明设计原理。 2.方案设计 根据设计要求确定时基分频器、计数器设计、数码管扫描显示、状态机设计的方法,画出流程图,并对各部分功能进行说明。建议使用Visio等软件绘制框图。 3.程序设计 根据设计要求及系统流程图,进行程序设计,编写实现程序,使用Quartus II 等软件。 4.程序调试 对编写的软件程序,进行编译调试仿真,根据结果,完善程序功能。 三、设计成果及要求 本课程设计成果为设计说明书(正文约3000~4000字),一般包括: (1)封面。按照给定的统一格式。 (2)目录。目录中的标题应与文中的标题一致,附录也应依次列入目录。 (3)摘要。单独一页全文摘要。 (4)正文 ①设计目的和要求(简述本设计的任务和要求,可参照任务书和指导书); ②设计原理(简述设计过程中涉及到的基本理论知识); ③设计内容(按设计步骤详细介绍设计过程,即任务书和指导书中指定的各项任务) 正文内容要分成若干章,如果章内还要划分小节,小节编号应采用分级阿拉伯数字链接式编号方法,第一级为“1”、“2”、“3”等,第二级为“1.1”、“1.2”、“1.3”等。插图必须精心制作,线条粗细要合适,图面要整洁美观。每幅插图应有图序和图题,图序和图题应写在图下方居中处,图序后空一格书写图题,图题应简明,

数电课程设计数字电子秒表设计打印 终极版

电子线路课程设计报告设计题目:数字式秒表 专业班级:电子信息科学与技术 姓名:纪宁 指导教师:白旭芳 完成日期2012 年 6 月27 日

数字式秒表 一、 设计任务与要求 1.主要单元电路参数计算和元器件选择; 2.画出总体电路图; 3.借助仿真软件在计算机上进行仿真试验; 4. 仿真成功后,在插线板上连接好设计的电路并进行调试和测试; 5. 最终实现秒表的计时、停止、复位功能。 二、方案设计与论证 1.1整体电路构思:利用已学的数模电知识进行单元电路的设计,再将各个 单元电路进行级联成为整体电路图。 1.2方案1 用专用集成电路设计的秒表电路,应用时钟芯片驱动6位七段发光二极管显示时间。 1.3方案2 由基本数字逻辑单元进行设计,它由振荡器产生一定频率的方波 脉冲,之后由分频器对方波脉冲进行分频,以达到设计电路所需的频率脉冲,脉冲作为时钟信号驱动计数器进行计数,最后由译码器译码并在数码管上显示 1.4方案的选择: 方案二与已学的数模电知识联系比较紧密,有较好的知识基

础,能够将所学知识与实践联系起来,而且电路设计能够模块化,实现也比较简单,所需器件实验室也能够满足,因此最终选择方案二实现本次课程设计 三、单元电路设计与参数计算 (1)由NE555P组成的多谐振荡器(多谐振荡器) (A)、555时钟电路可以构成多谐振荡器,真值表如下: 毫秒信号产生电路 NE555定时器是一种电路结构简单、使用方便灵活、用途广泛的多功能电路。利用闭合回路的反馈作用可以产生自激振荡。TTL电路延迟时间短,难以控制频率。电路接入RC回路有助于获得较低的振荡频率,由于门电路的作用时间极短,TTL电路自有几十纳秒,所以想获得稍低一些的振荡频率式很困难的,而且频率不易调节。在电路中接入RC电路可以有助于获得较低的振荡频率,而且通过改变R,C的数值可以很容易实现对频率的调节。 振荡电路是数字秒表的核心部分,电容充放电的速度决定了电路的振荡频率R1.R2 .C决定了多谐振荡器的周期,即决定了形成的方波的频率利用闭合回路中的负反馈作用可以产生自激振荡,利用闭合回路中的延迟负反馈作用也能产生自激振荡,只要负反馈作用足够强。为了得到频率更加准确的频率信号,加入了电容和电阻,其中电容为0.01微法,电阻为100K 欧姆。 (d)振荡周期的计算(R2=Rp) 1.确定R1、R2、C的值;占空比:

数字电子技术课程设计电子秒表

数字电子技术课程设计电 子秒表 The following text is amended on 12 November 2020.

数字电子技术课程设计报告题目:电子秒表的设计 专业: 班级: 姓名: 指导教师: 课程设计任务书学生班级:学生姓名:学号: 设计名称:电子秒表的设计 起止日期: 指导教师:***

目录 绪论- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 4 第一章、设计要求

设计任务及目的- - - - - - - - - - - - - - - - - - - - - - -- - - - - - - - -5 系统总体框图- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 5 、设计方案分析- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -6 第二章、电路工作原理及相关调试 电路工作原理- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 7 相关调试- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -- 11 第三章、实验总结 附录A 电子秒表原理图 附录B 相关波形 元件清单 参考文献 绪论 随着电子技术的发展,电子技术在各个领域的运用也越来越广泛,渗透到人们日常生活的方方面面,掌握必要的电工电子知识已经成为当代大学生特别是理工类大学生必备的素质之一。 电子秒表是日常生活中比较常见的电子产品,秒表的逻辑结构主要由时基电路、分频器、二一五一十进制异步加法记数器、数据选择器和显示

电子秒表设计实验报告

电子秒表设计实验报告标准化管理处编码[BBX968T-XBB8968-NNJ668-MM9N]

淮阴工学院 《数字电子技术》课程实验期末考核 2014-2015学年第2学期 实验名称:电子秒表电路的设计 班级: 学号: 姓名: 学院:电子与电气工程学院 专业:自动化 系别:自动化 指导教师:《数字电子技术》实验指导教师组 成绩: 2015年07月

电子秒表电路的设计 一、实验目的 1 .学习数字电路中基本RS 触发器、单稳态触发器、时钟发生器及计数、译码显示等单元电路的综合应用。 2 .学习电子秒表的调试方法。 二、实验原理 图11 -1 为电子秒表的电原理图。按功能分成四个单元电路进行分析。 1.基本RS 触发器 图11 -1 中单元I 为用集成与非门构成的基本RS 触发器。属低电平直接触发的触发器,有直接置位、复位的功能。 它的一路输出作为单稳态触发器的输入,另一路输出Q 作为与非门5 的输入控制信号。 按动按钮开关K 2(接地),则门1 输出=1 ;门2 输出Q =0 ,K 2 复 位后Q 、状态保持不变。再按动按钮开关K 1 , 则Q 由0 变为1 ,门5 开启,为计数器启动作好准备。由1 变0 ,送出负脉冲,启动单稳态触发器工作。 基本RS 触发器在电子秒表中的职能是启动和停止秒表的工作。

2. 时钟发生器 图11 -1 中单元Ⅲ为用555 定时器构成的多谐振荡器,是一种性能较好 的时钟源。 ,使在输出端3 获得频率为50HZ 的矩形波信号,当基本RS 调节电位器 R W 触发器Q =1 时,门5 开启,此时50HZ 脉冲信号通过门5 作为计数脉冲加于 。 计数器①的计数输入端CP 2 图11-2 单稳态触发器波形图图11-3 74LS90引脚排列 3.计数及译码显示 二—五—十进制加法计数器74LS90 构成电子秒表的计数单元,如图1 1 -1 中单元Ⅳ所示。其中计数器①接成五进制形式,对频率为50HZ 的时钟脉冲进行五分频,在输出端Q 取得周期为的矩形脉冲,作为计数器②的时钟 D 输入。计数器②及计数器③接成8421 码十进制形式,其输出端与实验装置上译码显示单元的相应输入端连接,可显示~秒;1 ~9 秒计时。 注:集成异步计数器74LS90

电子技术课程设计报告

电子技术 课程设计报告班级:xx 姓名: xx 学号:xx 指导教师:x 开课时间:x至x学年第x学期

目录 秒表数码显示电路数字秒表电路设计 音频小信号前置放大电路设计 信号发生器设计 频率计设计 红外线控制自动水龙头

一、课程设计的目的 1、熟悉电子技术的运用,掌握数字电子技术和模拟电子技术的实际运用 2、熟练掌握Multisim的操作,用来仿真模拟的电子线路并得到运行结果,以待进一步改进 3、将所学到的知识与实际更好地结合,熟练的在实际中运用 二、课程设计的要求 秒表数码显示电路数字秒表电路设计; 利用外部提供1MHz时钟,完成0~59小时59分59秒范围内的计时,通过按键设置计时起点与终点,计时精度为10ms; 音频小信号前置放大电路设计 设计音频小信号前置放大电路,并用合适软件模拟,;具体要求如下: 1放大倍数Au≥1000; 2通频带20Hz~20KHz; 3放大电路的输入电阻RI≥1M,输出电阻RO=600 4绘制频响扫描曲线; 说明:设计方案和器件根据题目要求自行选择,但要求在通用器件范围内; 测试条件:技术指标在输入正弦波信号峰峰值Vpp=10mv的条件进行测试

信号发生器设计 设计一个能够输出正弦波、三角波和矩形波的信号源电路,电路形式自行选择;输出信号的频率可通过开关进行设定,具体要求如下:1输出信号的频率范围为100Hz~2kHz,频率稳定度较高,2步进为100Hz;要求输出是正弦波信号,信号无明显失真;3三角波和矩形波占空比连续可调;4利用软件示波器测量出其输出频率的上限和下限及其输出电压的范围; 频率计设计 设计一个能够测量正弦波信号频率的电路;具体要求如下:1测频范围为1~9999Hz,精度为1Hz;2用数码管显示测频结果;3当信号频率超过规定的频段时,设有超量程显示;测试条件:在输入信号峰值为的情况下测试;参考元器件:74HC160/161,74HC138,74HC00,74HC573,74HC393、TL082,CD4511,CD4060晶振等; 红外线控制自动水龙头 设计电路及软件模拟;技术要求:1、用红外线检测,当有人手靠近10cm水龙头时,自动出水;2、人手远离水龙头时停止出水;3、水龙头采用由电子阀门控制的水龙头; 三、课程设计的内容 秒表数码显示电路数字秒表电路设计; 数字式秒表,必须有数字显示;按设计要求,须用数码管来做显示器;题目要求最大记数值为99分秒,那则需要六个数码管;要求计数分辨率为秒,那么我们需要相应频率的信号发生器;

电子秒表课程设计报告

电子秒表课程设计报告 电子信息工程专业10级学生单片机原理课程设计任务书 电子秒表的设计 一、设计要求 设计一个电子秒表,与通用秒表功能类似,有启动,暂停、复位等键。计时长长度为300秒,需显示百分秒。 二、设计方案分析 1.方案设计 数字电子秒表具有显示直观、读取方便、精度高等优点,在计时中广泛使用。本设计用单片机组成数字电子秒表,力求结构简单、精度高为目标。 本系统采用C51系列单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合硬件电路如电源电路,晶振电路,复位电路,显示电路,以及一些按键电路等来设计计时器,将软、硬件有机地结合起来。其中软件系统采用汇编语言编写程序,硬件系统利用*****强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 本设计利用*****2单片机的定时器/计数器定时和计数的原理,使其能精确计时。利用中断系统使其能实现开始暂停的功能。根据要求知道秒表设计主要实现的功能是计时和显示。因此设置

了两个按键和LCD显示时间,两个按键分别是开始、停止和复位按键。利用这两个建来实现秒表的全部功能,而LCD则能显示最多4.59.99秒的计时。电路原理图设计最基本的要求是正确性,其次是布局合理,最后在正确性和布局合理的前提下力求美观。硬件电路图按照图1.1进行设计。 图1.1 数字秒表硬件电路基本原理图 本设计中,数码管显示的数据存放在内存单元31H-33H中。其中31H存放分钟变量,32H存放秒钟变量,33H存放10ms计数值,即存放毫秒位数据,每一地址单元内均为十进制BCD码。由于采用软件动态扫描实现数据显示功能,显示用十进制BCD码数据的对应段码存放在ROM表中。显示时,先取出31H-33H 某一地址中的数据,然后查得对应的显示位,并从P1口输出,就能显示该地址单元的数据值。 计时通过INT1中断完成,定时溢出中断周期为1ms,当一处中断后向CPU发出溢出中断请求,每发出一次中断请求就对毫秒计数单元进行加一,达到10次就对十毫秒位进行加一,依次类推,直到4.59.99秒重新复位。 再看按键的处理。这两个键可以采用中断的方法,也可以采用扫描的方法来识别。复位键主要功能在于数值复位,对于时间的要求不是很严格。而开始和停止键则是用于对时间的锁定,需要比较准确的控制。因此可以对复位按键采取扫描的方式。而对

数电课程设计:电子秒表

《数字电子技术基础》 课程设计报告 题目:电子秒表 专业:自动化 班级:自动化 姓名: 指导教师: 成绩: 2015年8月25日

课程设计任务书 学生班级:自动化学生姓名:学号: 设计名称:电子秒表 起止日期:2015.8.22——2015.9.05 指导教师:

摘要 秒表应用于我们生活、工作、运动等需要精确计时的方面。它由刚开始的机械式秒表发展到今天所常用的数字式秒表。秒表的计时精度越来越高,功能越来越多,构造也日益复杂。 本次数字电路课程设计的数字式秒表的要求为:显示分辨率为1s/100,外接系统时钟频率为100Hz;计时最长时间为10min,6位显示器,显示时间最长为9m59.99s;系统设置启/停键和复位键。复位键用来消零,做好计时准备、启/停键是控制秒表起停的功能键。 针对上述设计要求,我们先前往校图书馆借阅了大量的数字电路设计方面的书籍,以及一本电子元件方面的工具书,以待查阅各种设计中所需要的元件。其次安装并学习了数字电路设计中所常用的Multisim仿真软件,在课程设计过程的电路图设计与电路的仿真方面帮助我们发现了设计电路方面的不足与错误之处。 关键字:555定时器十进制计数器多谐振荡器

目录 第一章方案设计与论证 .................................................. - 5 - 第二章单元电路设计与参数计算 .......................................... - 5 - 2.1 时钟脉冲发生和控制信号- 5 - 2.2 启动与停止电路- 6 - 2.3 清零电路设计- 7 - 第三章总电路工作原理及元器件清单 ...................................... - 7 - 3.1 电路完整工作过程描述(总体工作原理)- 7 - 3.2 总原理图:(见下图3-1)- 7 - 第四章主要芯片介绍- 9 - 4.1 74LS00- 9 - 4.1 74LS160- 9 - 第五章仿真............................................................. - 9 - 自我评价- 13 -

电子秒表课程设计报告

电子秒表课程设计报告 目录 一、设计要求 (2) 二、设计的目的与作用 (2) 三、设计的具体表达 (2) 1. 电子秒表的基本组成 (3) 2.电子秒表的工作原理 (3) 3.电子秒表的原理图 (4) 4. 单元电路设计 (4) 5.设计仿真与PCB制版 (12) 四、心得体会 (17) 五、附录 (18) 六、参考文献 (20)

一、设计要求 1.以0.1秒为最小单位进行显示。 2.秒表可显示0.1~60秒的量程。 3.该秒表具有清零、开始计时、停止计时功能。 二、设计的目的与作用 1.培养我们运用有关课程的基础理论和技能解决实际问题,并进一步提高专业基本技能、创新能力。通过课程设计,学习到设计写作方法,能用文字、图形和现代设计写作方法系统地、正确地表达课程设计和研究成果。 2. 熟悉555方波振荡器的应用。 3.熟悉计数器的级联及计数、译码、显示电路的整体配合。 4.建立分频的基本概念。 三、设计的具体表达 1.电子秒表的基本组成 电子秒表电路的基本组成框图如下图,它主要由基本RS触发器、多谐振荡器、计数器和数码显示器4个部分组成。

电子秒表电路的基本组成〔方框图〕如下: 图〔1〕电子秒表基本组成方框图 2.电子秒表的工作原理 由555定时器构成多谐振荡器,用来产生50Hz的矩形波。第Ⅰ块计数器作5分频使用,将555输来的50Hz的脉冲变为0.1秒的计数脉冲,在输出端Qd取得,作为第2块计数器的始终输入,第2、第3块计数器QA与CP2相连,都已接成8421码十进制计数电路,第4块接成六进制形式,其输出端与译码显示器的相应输入端连接,可显示0.1-0.9s,1-9s,10-60s. 3.电子秒表的原理图

电子技术课程设计---秒表数码显示电路数字秒表电路设计

电子技术课程设计---秒表数码显示电路数字秒表电路设计 一,课题名称 秒表数码显示电路数字秒表电路设计 二,设计要求 1. 设计1MHz时钟: 2.完成0~59小时59分59秒范围内的计时: 3.通过按键设置计时起点与终点,计时精度为10ms: 4. 计时暂停、恢复和清零功能; 5. 计时时间报警功能; 三,比较和选定设计的系统方案,画出系统框图 1.1,课题分析与方案确定 本题要求计数至59时59分59秒,所以要用到六个数码管。计数精度为10ms,及计数分辨率为0.01秒,所以需要相应的信号发生器。暂停功能和清零功能通过开关进行控制。最终方案是使用六个74LS160,六个数码管,以及两个VCC来进行设计,总体采用同步预置法。 1.2,总体设计方案及系统框图

数字式秒表,必须有数字显示。按设计要求,必须用数码管来做显示器。题目要求59时59分59秒,则需要六个数码管。要求计数分辨率为0.01秒,则需要相应频率的信号发生器。总体上,采用六个74LS160计数器。使用同步预置法,实现59时59分59秒的计时。 将两个74LS160组合,并通过同步预置法实现六十进制,六个计数器分成三组,分别对应时、分、秒的功能。 四,单元电路设计、参数计算和器件选择 1.1,各个模块单元设计 74LS160 芯片同步十进制计数器(直接清零)作用:1、用于快速计数的内部超前进位.2、用于n 位级联的进位输出.3、同步可编程序.4、有置数控制线.5、二极管箝位输入.6、直接清零.7、同步计数.74LS160的功能真值表。 功能表: 图20 引脚图:

图21 逻辑符号及其引脚功能图: 图22 74ls160中的ls代表为低功耗肖特基型芯片。74160为标准型芯片。结构功能一样。2、160为可预置的十进制计数器,共有54/74160 和54/74LS160 两种线路结构型式,其主要电器特性的典型值如表3-1(不同厂家具体值有差别): 异步清零端/MR1 为低电平时,不管时钟端CP信号状态如何,都可以完成清零功能。 将一个计数器15端连接到另一个计数器的2端来形成一组计数器,第2个计数器输出0101的信号时通过与非门将信号输出到它的9端来实现60进制计数。并将0101信号也输入到下一组计数器上来实现进数功能。清零模块通过将所有计数器的1端接5V电源上并通过开关闭合与开启来置1和置0来实现清零的功能。在脉冲信号输出端接开关来实现开始与暂停功能。

模拟电子技术课程设计——数字电子秒表

广东工业大学华立学院课程设计(论文) 课程名称模拟电子技术 题目名称信号发生器 学生学部(系)信息与计算机学部 专业班级09信息工程1班 学号 学生姓名 指导教师黎燕霞

2011年6月27 日 广东工业大学华立学院 课程设计(论文)任务书 一、课程设计(论文)的内容 数字电子计时器一般有振荡器、分频器、译码器和显示器等几部分组成,这些都是数字电路应用最广泛的基本电路。本设计要求设计一个数字电子秒表,该秒表具有显示、连续计时、直接清零、启动计时和停止计时等功能。 二、课程设计(论文)的要求与数据

1. 要求秒表范围0.1-9.9秒,设计精度为0.1秒; 2. 要求用一个开关控制三种工作状态,其转换顺序为清零-计时-停止-清零。 3. 要求画好电路图,阐明电路的工作原理,说明设计思想; 三、课程设计(论文)应完成的工作 1. 完成数字电子秒表的设计(包括计数器设计、555振荡模块设计、时序控制电路设计、数码显示器设计),绘制电路原理图; 2. 完成课程设计报告的撰写。 四、课程设计(论文)进程安排

五、应收集的资料及主要参考文献 【1】邓保青.数字电子技术实验指导书. 【2】王毓银.数字电路逻辑设计(脉冲与数字电路第三版).高等教育出版社,2003.11. 【3】康华光.电子技术基础-数字部分(第四版).高等教育出版社,2006.6. 【4】李大友.数字电路逻辑设计.清华大学出版社,2007.12. 【5】阎石.数字电子技术基础(第四版).高等教育出版社,2005.6. 发出任务书日期:2011年6月1日指导教师签名: 计划完成日期:2011 年6月30日教学单位责任人签章: 目录 1前言 (1) 2设计目的与任务 (1) 2.1设计目的 (1) 2.2设计的任务 (2) 2.3课程设计的要求及指标 (2) 3数字电子秒表设计 (3)

数电课程设计电子秒表

电子电路课程设计题目名称:电子秒表课程设计 姓名: 学号: 专业班级:

一.设计任务及功能简介 (1)显示分辨率为1s/100,外接系统时钟频率为100KHz。 (2)计时最长时间为1h,六位显示器,显示时间最长为59m59.99s。 系统设置启/停键和复位键。复位键用来消零,做好计时准备、启/停键是控制秒表起停的功能键 二.设计框原理 三.各单元电路的设计及使用说明

1.启动和停止单元电路 由一个开关控制CP脉冲,从而控制秒表的启动与停止 2.秒脉冲电路 LM555CN定时器构成的多谐振荡器,是一种性能较好的时钟源。该脉冲电路产生100KHz的脉冲(MULTISIM仿真太慢,调快了频率) 3. 100进制计数器 由两片74ls160级联组成,采用了串行的方式,低位片的进位端RCO接低位片的CLK端。

4. 60进制计数器 60进制计数器采用串行整体置数的方式级联,将电路的59状态译码产生的低电平信号接到两片计数器的同步置数端,当下一个脉冲到来的时候同时把0000置入两片74ls160计数器中,从而得到六十进制计数器。 5.计数与译码显示 十进制加法计数器74LS160构成电子秒表的计数单

6.电子秒表总设计图 四.主要芯片介绍 1.74LS00 其真值表及管脚图为: 2.74LS160 74LS160 十进制同步计数器(异步清零)其真值表如下( H:高电平,L:低电平,↑: 上升沿,X:任意,D0 ~D3 :A~D稳态输入电平)

五.总电路的仿真 在仿真的过程中遇到过很多的问题,比如六十进制计数器计数到五十的时候高位片出现进位等,这些问题的出现是由于对任意进制计数器的组成、上升沿触发还是下降沿触发没理解透彻而导致的细节性问题。通过对教材的重新学习,现在真正知道了出现这些问题的原因。计数器的级联有串行并行两种方法,此实验选择串行级联。

数字电子技术课程设计秒表的制作任务书

数字电子技术课程设计秒表的制作任务书 摘要: 随着电子技术的进展,电子技术在各个领域的运用也越来越广泛,渗透到人们日常生活的方方面面,,把握必要的电工电子知识差不多成为当代大学生专门是理工类大学生必备的素养之一。 而我们这次设计的是秒表,现代秒表的设计上功能不断完善,在时刻的设计上不断的精确,人们也利用了电子技术以及相关的知识解决了一些实际问题。秒表的设计是由555芯片提供的,秒表时刻由相关的电阻与电容的大小决定。除了时刻的设计精确外,秒表还在功能上有所改变,如实现倒计时。电子秒表广泛应用于对运动物体的速度、加速度的测量实验,还可用来验证牛顿第二定律、机械能守恒等物理实验,同时也适用于对时刻测量精度要求较高的场合,如测定短时刻间隔的外表。秒表有机械秒表和电子秒表两类。机械秒表与机械手表相仿,但具有制动装置,可精确至百分之一秒;电子秒表用微型电池作能源,电子元件测量显示,可精确至千分之一秒,广泛应用于科学研究、体育运动及国防等方面。在当今专门注重工作效率的社会环境中,定时器能给我们的工作、生活以及娱乐带来专门大的方便。充分利用定时器,能有效的加强我们的工作效率。 本次我门设计的秒表,是以555定时器为核心,以分频、计数与译码显示模块为要紧构成部分的电子秒表的设计方案,充分利用数字电路的计数、译码、显示的优良特性,使整个设计达到了比较中意的成效。差不多电路要紧有时基产生电路、电源电路、分频电路、计数与译码电路(包括显示电路)、开关按钮电路。所设计的电子秒表达

到了设计要求的各项指标,同时在那个基础上进行了功能扩展,系统具有随时启动、停止以及清零功能。这次设计中不但对往常的知识进行巩固,而且学会了更多的新知识,提高思维、强化动手能力,能够更好地适应和走上工作岗位,为以后的就业打下一定的基础。 关键词:电子秒表,555定时器,分频,计数,译码 名目 绪论 (5) 第一章:设计要求 (6) 1.1设计说明 (6) 1.2 设计要求 (6) 第二章:方案设计 (7) 2.1 方案一 (7) 2.2 方案二 (7) 第三章:原理设计 (8) 3.1差不多RS触发器的设计 (8) 3.2 单稳态触发器设计 (9) 3.3 时钟发生器设计 (9) 3.4 计数及译码显示设计 (10) 第四章:硬件设计 (12) 4.1 PCB设计 (12) 4.2 打印与压制 (13) 4.3 腐蚀铜板及钻孔 (14) 4.4 焊接与装配 (14) 4.5 电路调试 (14) 4.6 测试 (15) 第五章:秒表组成及使用说明 (17) 5.1 秒表框图,各部分组成 (17)

相关文档
相关文档 最新文档