文档库 最新最全的文档下载
当前位置:文档库 › 集成电路课程设计报告

集成电路课程设计报告

集成电路课程设计报告
集成电路课程设计报告

集成电路课程设计报告X126版图提取与电路分析

姓名:刘慧超

学号:110260115

指导教师:韩良

成绩:

哈尔滨工业大学(威海)电子科学与技术系

2014-11-1

目录

第1章课程设计的要求 (1)

1.1 课程设计的目的 (1)

1.2 课程设计的要求 (1)

第2章课程设计的内容 (2)

2.1 基本内容 (2)

2.2 扩展部分 (2)

第3章课程设计的步骤 (3)

3.1 前期准备 (3)

3.2 版图提取 (4)

3.3 LVS (5)

3.4 电路仿真与分析 (8)

3.5 版图绘制 (11)

第4章课程设计的心得 (14)

第1章课程设计的要求

1.1课程设计的目的

?掌握较大工程的基本开发技能

?培养运用Cadence工具进行硬件开发的能力

?培养集成电路设计的基本能力

1.2课程设计的要求

?掌握集成电路典型制造工艺流程及其所需的光刻掩膜版,以及每块光刻掩膜

版的作用,能够识别集成电路版图;

?掌握集成电路性能与电路结构和器件尺寸之间的关系,能够正确分析和设计

电路,学会电路图录入和电路模拟软件(spice)的使用;

?掌握集成电路性能与版图布局布线之间的关系,能够合理进行版图规划;

?掌握集成电路版图设计规则的含义以及消除或减小寄生效应的措施,能够正

确设计集成电路版图,学会版图录入和版图设计规则检查(DRC)软件的使

用;

?学会电路与版图一致性检查(LVS)、版图参数提取(LPE)及版图后模拟软

件的使用。

第2章课程设计的内容

2.1基本内容

?版图提取

根据所给电路的版图信息,提取出电路原理图。

?LVS

验证提取到的原理图与版图信息的一致性,确保版图提取正确。

?电路分析

根据提取出的原理图,简单分析电路完成的功能。

?仿真

运行Cadence软件自带的仿真功能,对提取出的原理图做功能仿真,验证电路的功能。

?绘制版图

将原有版图中所有元器件的参数尺寸缩小一倍,重新绘制版图。

?DRC

版图规则校验,确保版图绘制符合所用工艺的要求,确保版图的规则性。

?版图后LVS

重新编辑原理图,将所有的元器件参数尺寸缩小一倍,然后对新绘制的版图和原理图进行LVS校验,确保版图电路的一致性。

2.2扩展部分

?版图参数提取LPE

从生成的版图中提取关键参数,例如寄生电容、耦合电容以及电路延迟等,从而进行更精确的仿真。

?版图后仿真

版图设计完成以后,将寄生参数、互连延迟反标到所提取的电路网表中进行仿真,对电路进行分析,确保电路符合设计要求。

第3章课程设计的步骤

3.1前期准备

开发平台的安装与设置:

安装VMware虚拟平台,在VMware界面下点击Open Existing VM or Team,打开已存在的Linux系统,如图3-1所示。

图 3-1运行VM

图 3-2打开虚拟系统Linux

按照图3-1和图3-2所示运行VM并加载虚拟系统Linux,而后点击Power on this virtual machine启动Linux系统,后续的工作就从这里展开。

3.2版图提取

运行Cadence工程软件,找到所要提取的版图文件并打开

# cd kecheng

# icfb&

弹出的如图3-3所示对话框,点击Tool->Library Manage,按照如下方法找到版图文件并打开。

图 3-3打开版图文件

而后会看到如图3-4所示的版图文件。

图 3-4版图信息

按照从上到下,由左至右的原则,“开闸放水”,开始提取电路原理图。

新建一个原理图文件:

在Library Manage 对话框下,点击File->New->Cell,按照如图3-5所示新建文件,文件名为x126,文件类型为Schematic文件。

图 3-5新建Schematic文件

按照版图信息提取电路,如图3-6所示。

图 3-6电路提取

当电路提取完成之后,点击工具栏下的Design->Check and save选项,检查电路连接是否正确并保存,若电路连接有错,会用亮点在电路图中显示出来,修改错误再次检查,直至无误。

3.3LVS

电路提取完成后,接下来开始做LVS版图电路一致性检查,LVS需要用到的三个文件:

.cdl文件:电路图网表信息

.gds文件:版图信息

.rul文件:工艺文件

下面依次获得上述三个文件:

导出.cdl文件

打开icfb对话窗,点击File->Export->CDL,弹出如图3-7所示对话窗。

图 3-7导出.cdl文件

点击Library Brower,找到提取到的电路图文件,双击选中。

修改Output File,这里假设为x126.cdl。

修改Run Directory,本次试验是在/kecheng/LVS目录下进行LVS检查,所以运行路径也要保持一致。

配置好选项后,点击左上角的OK按钮开始导出.cdl文件。如果导出.cdl文件失败,在该目录下的si.log文件中可以查看失败的原因,按照错误提示修改配置就可以了。成功导出.cdl文件后,启动vi编辑器修改.cdl文件,在其中添加如下两句话:

# *.equiv P=PM

# *.equiv N=NM

至于为什么这么添,和用到的工艺文件有关,不做详细解释。

注:.cdl文件导出成功后,会在对应目录下产生x126.cdl文件。

●导出.gds文件

打开icfb对话框,点击File->Export->Stream out,弹出如图3-8所示对话框。

图 3-8导出.gds文件

点击Library Brower按钮,在弹出的窗口中找到自己的版图(这里是x126)并双击选中后即可关闭弹出窗口,回到Stream Out窗口,修改Run Directory和Output File 选项,按照图3-6所示修改。

设置好以上参数后,点击左上角的OK按钮开始导出.gds文件。

●拷贝.rul文件

进入到/home/iccad/kecheng/techfile,找到lvs.rul文件并拷贝到/LVS目录下:# cp /home/iccad/kecheng/techfile/lv.rul /home/iccad/kecheng/LVS/ 然后用vi编辑器按照图3-9所示修改.rul文件。

图 3-9修改.rul文件

到此,LVS检测所要用到的三个文件都已经搞定,接着就可以开始进行LVS了。

# cd LVS //进入到LVS目录下

# LOGLVS //启动LVS

:cir x126.cdl //编译.cdl文件

:con x126 //转换文件格式为XDL,x126是所制电路原理图的文件名

:sμm//查看元器件种类和个数

:x //退出

# PDRACULA

:/get lvs.rul //加载工艺文件

:/f

# ./https://www.wendangku.net/doc/f118882767.html, //开始LVS检测

# vi lvs.lvs //查看LVS结果

如果lvs.lvs文件如图3-10所示,提示”SCHEMATIC AND LAYOUT MATCH”,则表明版图和原理图信息是一致的。

图 3-10LVS检测通过

否则表示版图信息和电路原理图有差异,根据lvs.lvs文件中的错误提示对原理图作出修改,直至LVS检测顺利通过。

3.4电路仿真与分析

LVS检测顺利通过后,就可以进行电路仿真了,然后根据输出波形分析电路功能。

●打开原理图文件

点击左上角的Tools->Analog Environment,弹出如图3-11所示窗口。

图 3-11仿真环境设置

●添加仿真模型

点击Setup->Model Library Setup,弹出图3-12对话框。

图 3-12添加仿真模型

按照图中所示添加仿真模型,然后点击Add按钮完成模型添加。

●设置仿真时间

点击Analyses->Chooses,弹出如图3-13所示对话框。

图 3-13设置仿真时间

同时,添加静态分析,点击窗口中的dc选项,选中DC Analysis,然后点击左上角的OK按钮保存设置。

●添加输入输出信号到波形文件

点击Outputs->To Be Plotted->Selected On Schematic,在原理图上选中所有的输入输出信号到波形文件,添加之后如图3-14所示:

图 3-14添加输入输出信号到波形文件

●添加直流电源和激励信号

在原理图窗口界面,点击工具栏里的Add->Instance,弹出如图3-15所示对话框。

图 3-15添加仿真库

点击Browse按钮,选择模型,依次添加vdc和vpluse,作为电路的直流电源和输入激励信号,如图3-16所示。

图 3-16添加直流电源和输入信号

按照图3-17所示设置激励信号的参数。

图 3-17设置激励信号参数

依次设置信号的高低电平、延迟时间、上升时间、下降时间、高电平宽度、周期等,设置好后点击OK保存。

●所有参数都设置好之后,点击Simulation->Netlist and Run,开始仿真。

得到如图3-18所示波形文件。

图 3-18仿真波形

●功能分析

根据波形文件,可以得到如表3-1所示的真值表。

表 3-1真值表

1和置0的D触发器。

3.5版图绘制

按照要求,将原来版图中的所有元件的尺寸缩小一倍,重新绘制版图。

●新建版图文件

打开icfb窗口,点击菜单栏下的Tools->Library Manager,在弹出的对话窗中点击File->New->Cell View,在库文件kecheng_draw下建立新的版图文件,按照原来版图尺寸的一半绘制新的版图文件。新绘制的版图文件如图3-19所示。

图 3-19绘制版图文件

本次试验采用的是TSMC的.25μm工艺,所以在绘制版图的时候,要注意以下几个要求:

?栅与栅之间的距离>= 0.36uμm

?栅与有源区之间的距离>= 0.14μm

?栅与连接孔contact之间的距离>= 0.22μm

?栅伸出有源区的长度>= 0.30μm

?管子之间的距离>= 0.40μm

?有源区之间的距离>= 0.40μm

?有源区与连接孔contact的间距>= 0.15μm

?有源区与井之间的距离>= 0.60μm

?井与PIMP之间的距离>= 0.23μm

?连接孔与金属线metal之间的距离>= 0.09μm

?金属线metal与metal之间的距离>= 0.32μm

?有源区与PIMP之间的距离>= 0.26μm

?N有源区和P有源区之间的距离>= 0.40μm

●DRC和LVS

为了保证新绘制的版图的正确性,要进行版图DRC校验和LVS版图电路一致性检测。LVS检测的步骤和之前的一样,此处不再赘述。DRC校验也很简单,单击版图窗口菜单栏下的Verify->DRC,在弹出的窗口中正确填写Rules File,而后点击左上角的OK按钮进行DRC校验。校验结果如图3-20所示,没有一处高亮显示的地方,则表明版图绘制符合工艺要求。

图 3-20DRC校验结果

版图校验完成后,再次进行LVS检测,保证版图和电路信息的一致性,如图3-21所示表示LVS检测顺利通过。

图 3-21新制版图LVS结果

第4章课程设计的心得

本次课程设计历时半个月,使用虚拟系统环境的Cadence硬件开发平台,先后完成了从版图到电路的提取、检验、仿真分析等工作,既是对前期课程《集成电路设计原理》的复习与巩固,也对后续的毕业设计奠定了深厚的基础。

经过此次课程设计,我学习到了很多知识:

首先,对虚拟系统的安装和使用流程有了一定的了解和掌握,对Linux系统有了更进一步的学习,相比windows系统,Linux系统有其一定的优势和长处,精简儿快捷,操作方便,全命令动作,而这也是windows图形化界面所不具备的优势。

其次,通过这次课程设计,我大致了解了集成电路设计的软件流程,熟悉了版图绘制的技巧和注意事项,如何从看似错综复杂的版图中准确提取电路原理图,如何进行版图电路一致性检测以及电路功能仿真等,拘于条件限制,无法完成版图参数提取和后仿真等工作,但对利用Cadence系列工具软件进行IC设计有了更进一步的入门和学习。我们学习一门知识,不是一般的机械式套用,而是要学会思考,弄清楚其中的每一个细节,明白为什么要往左而不是往右,明白每一个命令是干什么的,有什么作用,可以完成什么功能。我们追求的不是死记硬背烂熟于心,而是熟能生巧信手拈来。唯有如此,才可融会贯通,一通百通,才会取得更多更快的进步。

最后,在此次课程设计中,给我印象最深的是版图绘制,这也是出错最多的地方。一开始没有阅读工艺规则文件,不知道版图绘制的时候需要注意很多细节,于是毫无顾忌的就开始绘制版图,到最后,虽然版图很漂亮,布局也合理,但DRC检测的时候出现了一堆错误,满眼看到的都是叉,心里很是不舒服,一点一点修改,但却是捉襟见肘,顾左不顾右。失落之余也想过仔细研读工艺规则文件然后重新绘制版图,但是再也找不到初始的感觉,而且也不太现实。后来经老师指点迷津,幡然醒悟。其实,方法自在心中,简单明了,究其根本错在版图不符合规则,那么最简单的方法就是找到规则要求,当然,不是去查阅规则文件,而是在版图上多次修改,确定出错的临界条件,那便是了。内行人看来这也不是最好的方法,如果对Cadence软件很熟悉的话,闭着眼也知道最简单的方法就是查看错误提示,规则要求就在其中,一览无余。当然,这个方法在我正确绘制版图后也发现了,这也告诉我一个通用的道理:遇到问题,首先要做的不是怎么解决问题,而是要明确问题出在何处,有没有对问题的提示或说明,这也就是所谓的线索,只有找到线索,抓住切入点,问题不攻自破。

总结一下,本次课程设计虽然不能尽善尽美,却是收获颇多。如果认真对待,不失为一个提高科研能力、锤炼科研思想、夯实科研基础的绝好机会。

集成电路设计实验报告

集成电路设计 实验报告 时间:2011年12月

实验一原理图设计 一、实验目的 1.学会使用Unix操作系统 2.学会使用CADENCE的SCHEMA TIC COMPOSOR软件 二:实验内容 使用schematic软件,设计出D触发器,设置好参数。 二、实验步骤 1、在桌面上点击Xstart图标 2、在User name:一栏中填入用户名,在Host:中填入IP地址,在Password:一栏中填入 用户密码,在protocol:中选择telnet类型 3、点击菜单上的Run!,即可进入该用户unix界面 4、系统中用户名为“test9”,密码为test123456 5、在命令行中(提示符后,如:test22>)键入以下命令 icfb&↙(回车键),其中& 表示后台工作,调出Cadence软件。 出现的主窗口所示: 6、建立库(library):窗口分Library和Technology File两部分。Library部分有Name和Directory 两项,分别输入要建立的Library的名称和路径。如果只建立进行SPICE模拟的线路图,Technology部分选择Don’t need a techfile选项。如果在库中要创立掩模版或其它的物理数据(即要建立除了schematic外的一些view),则须选择Compile a new techfile(建立新的techfile)或Attach to an existing techfile(使用原有的techfile)。 7、建立单元文件(cell):在Library Name中选择存放新文件的库,在Cell Name中输 入名称,然后在Tool选项中选择Composer-Schematic工具(进行SPICE模拟),在View Name中就会自动填上相应的View Name—schematic。当然在Tool工具中还有很多别的

集成电路课程设计报告

课程设计 班级: 姓名: 学号: 成绩: 电子与信息工程学院 电子科学系

CMOS二输入与非门的设计 一、概要 随着微电子技术的快速发展,人们生活水平不断提高,使得科学技术已融入到社会生活中每一个方面。而对于现代信息产业和信息社会的基础来讲,集成电路是改造和提升传统产业的核心技术。随着全球信息化、网络化和知识经济浪潮的到来,集成电路产业的地位越来越重要,它已成为事关国民经济、国防建设、人民生活和信息安全的基础性、战略性产业。 集成电路有两种。一种是模拟集成电路。另一种是数字集成电路。本论文讲的是数字集成电路版图设计的基本知识。然而在数字集成电路中CMOS与非门的制作是非常重要的。 二、CMOS二输入与非门的设计准备工作 1.CMOS二输入与非门的基本构成电路 使用S-Edit绘制的CMOS与非门电路如图1。 图1 基本的CMOS二输入与非门电路

2.计算相关参数 所谓与非门的等效反相器设计,实际上就是根据晶体管的串并联关系,再根据等效反相器中的相应晶体管的尺寸,直接获得与非门中各晶体管的尺寸的设计方法。具体方法是:将与非门中的VT3和VT4的串联结构等效为反相器中的NMOS 晶体管,将并联的VT 1、VT 2等效PMOS 的宽长比(W/L)n 和(W/L)p 以后,考虑到VT3和VT4是串联结构,为保持下降时间不变,VT 3和VT 4的等线电阻必须减小为一半,即他们的宽长比必须为反相器中的NMOS 的宽长比增加一倍,由此得到(W/L)VT3,VT4=2(W/L)N 。 因为考虑到二输入与非门的输入端IN A 和IN B 只要有一个为低电平,与非门输出就为高电平的实际情况,为保证在这种情况下仍能获得所需的上升时间,要求VT 1和VT 2的宽长比与反相其中的PMOS 相同,即(W/L)VT1,VT2=(W/L)P 。至此,根据得到的等效反向器的晶体管尺寸,就可以直接获得与非门中各晶体管的尺寸。 如下图所示为t PHL 和t PLH ,分别为从高到低和从低到高的传输延时,通过反相器的输入和输出电压波形如图所示。给其一个阶跃输入,并在电压值50%这一点测量传输延迟时间,为了使延迟时间的计算简单,假设反相器可以等效成一个有效的导通电阻R eff ,所驱动的负载电容是C L 。 图2 反相器尺寸确定中的简单时序模型 对于上升和下降的情况,50%的电都发生在: L eff C R 69.0=τ 这两个Reff 的值分别定义成上拉和下拉情况的平均导通电阻。如果测量t PHL 和t PLH ,可以提取相等的导通电阻。 由于不知道确定的t PHL 和t PLH ,所以与非门中的NMOS 宽长比取L-Edit 软件中设计规则文件MOSIS/ORBIT 2.0U SCNA Design Rules 的最小宽长比及最小长度值。 3.分析电路性质 根据数字电路知识可得二输入与非门输出AB F =。使用W-Edit 对电路进行仿真后得到的结果如图4和图5所示。

集成电路设计基础_期末考试题

集成电路设计基础 2010-11年第一学期试题 一、填空题(20分) 1、目前,国内已引进了12英寸0.09um 芯片生产线,由此工艺线生产出来的集成 电路特征尺寸是0.009um (大 小),指的是右图中的W (字 母)。 2、CMOS工艺可分为p阱、n阱、双阱 三种。 在CMOS工艺中,N阱里形成的晶体管是p (PMOS,NMOS)。 3、通常情况下,在IC中各晶体管之间是由场氧来隔离的;该区域的形成用到的制造工艺是氧化工艺。 4.集成电路制造过程中,把掩膜上的图形转换成晶圆上器件结构一道工序是指光 刻,包括晶圆涂光刻胶、曝光、显影、烘干四个步骤; 其中曝光方式包括①接触式、②非接触式两种。 5、阈值电压V T是指将栅极下面的si表面从P型Si变成N型Si所必要的电压,根据阈值电压的不同,常把MOS区间分成耗尽型、增强型两种。降低V T 的措施包括:降低杂质浓度、增大Cox 两种。 二、名词解释(每词4分,共20分) ①多项目晶圆(MPW) ②摩尔定律 ③掩膜 ④光刻

⑤外延 三、说明(每题5分共10分) ①说明版图与电路图的关系。 ②说明设计规则与工艺制造的关系。 四、简答与分析题(10分) 1、数字集成电路设计划分为三个综合阶段,高级综合,逻辑综合,物理综合;解释这 三个综合阶段的任务是什么? 2、分析MOSFET尺寸能够缩小的原因。 五、综合题(共4小题,40分) 1、在版图的几何设计规则中,主要包括各层的最小宽度、层与层之间的最小间距、各 层之间的最小交叠。把下图中描述的与多晶硅层描述的有关规则进行分类: (2)属于层与层之间的最小间距的是: (3)属于各层之间的最小交叠是: 2.请提取出下图所代表的电路原理图。画出用MOSFET构成的电路。

《集成电路设计》课程设计实验报告

《集成电路设计》课程设计实验报告 (前端设计部分) 课程设计题目:数字频率计 所在专业班级:电子科 作者姓名: 作者学号: 指导老师:

目录 (一)概述 2 2 一、设计要求2 二、设计原理 3 三、参量说明3 四、设计思路3 五、主要模块的功能如下4 六、4 七、程序运行及仿真结果4 八、有关用GW48-PK2中的数码管显示数据的几点说明5(三)方案分析 7 10 11

(一)概述 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得十分重要。测量频率的方法有多种,数字频率计是其中一种。数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,是一种用十进制数字显示被测信号频率的数字测量仪器。数字频率计基本功能是测量诸如方波等其它各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。 频率计的基本原理是应用一个频率稳定度高的时基脉冲,对比测量其它信号的频率。时基脉冲的周期越长,得到的频率值就越准确。通常情况下是计算每秒内待测信号的脉冲个数,此时我们称闸门时间是1秒。闸门时间也可以大于或小于1秒,闸门的时间越长,得到的频率值就越准确,但闸门的时间越长则每测一次频率的间隔就越长,闸门时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。 本文内容粗略讲述了我们小组的整个设计过程及我在这个过程中的收获。讲述了数字频率计的工作原理以及各个组成部分,记述了在整个设计过程中对各个部分的设计思路、程序编写、以及对它们的调试、对调试结果的分析。 (二)设计方案 一、设计要求: ⑴设计一个数字频率计,对方波进行频率测量。 ⑵频率测量可以采用计算每秒内待测信号的脉冲个数的方法实现。

集成电路课程设计(CMOS二输入及门)

) 课程设计任务书 学生姓名:王伟专业班级:电子1001班 指导教师:刘金根工作单位:信息工程学院题目: 基于CMOS的二输入与门电路 初始条件: 计算机、Cadence软件、L-Edit软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) & 1、课程设计工作量:2周 2、技术要求: (1)学习Cadence IC软件和L-Edit软件。 (2)设计一个基于CMOS的二输入的与门电路。 (3)利用Cadence和L-Edit软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 | 学习Cadence IC和L-Edit软件,查阅相关资料,复习所设计内容的基本理论知识。 对二输入与门电路进行设计仿真工作,完成课设报告的撰写。 提交课程设计报告,进行答辩。 指导教师签名:年月日系主任(或责任教师)签名:年月日

目录 # 摘要 (2) 绪论…....………………………………………….………………….. ..3 一、设计要求 (4) 二、设计原理 (4) 三、设计思路 (4) 3.1、非门电路 (4) 3.2、二输入与非门电路 (6) 、二输入与门电路 (8) } 四、二输入与门电路设计 (9) 4.1、原理图设计 (9) 4.2、仿真分析 (10) 4.3、生成网络表 (13) 五、版图设计........................ (20) 、PMOS管版图设计 (20) 、NMOS管版图设计 (22) 、与门版图设计 (23)

模拟集成电路设计期末试卷

《模拟集成电路设计原理》期末考试 一.填空题(每空1分,共14分) 1、与其它类型的晶体管相比,MOS器件的尺寸很容易按____比例____缩小,CMOS电路被证明具有_ 较低__的制造成本。 2、放大应用时,通常使MOS管工作在_ 饱和_区,电流受栅源过驱动电压控制,我们定义_跨导_来 表示电压转换电流的能力。 3、λ为沟长调制效应系数,对于较长的沟道,λ值____较小___(较大、较小)。 4、源跟随器主要应用是起到___电压缓冲器___的作用。 5、共源共栅放大器结构的一个重要特性就是_输出阻抗_很高,因此可以做成___恒定电流源_。 6、由于_尾电流源输出阻抗为有限值_或_电路不完全对称_等因素,共模输入电平的变化会引起差动输 出的改变。 7、理想情况下,_电流镜_结构可以精确地复制电流而不受工艺和温度的影响,实际应用中,为了抑制 沟长调制效应带来的误差,可以进一步将其改进为__共源共栅电流镜__结构。 8、为方便求解,在一定条件下可用___极点—结点关联_法估算系统的极点频率。 9、与差动对结合使用的有源电流镜结构如下图所示,电路的输入电容C in为__ C F(1-A)__。 10、λ为沟长调制效应系数,λ值与沟道长度成___反比__(正比、反比)。 二.名词解释(每题3分,共15分) 1、阱 解:在CMOS工艺中,PMOS管与NMOS管必须做在同一衬底上,其中某一类器件要做在一个“局部衬底”上,这块与衬底掺杂类型相反的“局部衬底”叫做阱。 2、亚阈值导电效应 解:实际上,V GS=V TH时,一个“弱”的反型层仍然存在,并有一些源漏电流,甚至当V GS

CMOS模拟集成电路课程设计

电子科学与技术系 课程设计 中文题目:CMOS二输入与非门的设计 英文题目: The design of CMOS two input NAND gate 姓名:张德龙 学号: 1207010128 专业名称:电子科学与技术 指导教师:宋明歆 2015年7月4日

CMOS二输入与非门的设计 张德龙哈尔滨理工大学电子科学与技术系 [内容摘要]随着微电子技术的快速发展,人们生活水平不断提高,使得科学技术已融入到社会生活中每一个方面。而对于现代信息产业和信息社会的基础来讲,集成电路是改造和提升传统产业的核心技术。随着全球信息化、网络化和知识经济浪潮的到来,集成电路产业的地位越来越重要,它已成为事关国民经济、国防建设、人民生活和信息安全的基础性、战略性产业。 集成电路有两种。一种是模拟集成电路。另一种是数字集成电路。本次课程设计将要运用S-Edit、L-edit、以及T-spice等工具设计出CMOS二输入与非门电路并生成spice文件再画出电路版图。 [关键词]CMOS二输入与非门电路设计仿真

目录 1.概述 (1) 2.CMOS二输入与非门的设计准备工作 (1) 2-1 .CMOS二输入与非门的基本构成电路 (1) 2-2.计算相关参数 (2) 2-3.电路spice文件 (3) 2-4.分析电路性质 (3) 3、使用L-Edit绘制基本CMOS二输入与非门版图 (4) 3-1.CMOS二输入与非门设计的规则与布局布线 (4) 3-2.CMOS二输入与非门的版图绘制与实现 (5) 4、总结 (6) 5、参考文献 (6)

1.概述 本次课程设计将使用S-Edit画出CMOS二输入与非门电路的电路图,并用T-spice生成电路文件,然后经过一系列添加操作进行仿真模拟,计算相关参数、分析电路性质,在W-edit中使电路仿真图像,最后将电路图绘制电路版图进行对比并且做出总结。 2.CMOS二输入与非门的设计准备工作 2-1 .CMOS二输入与非门的基本构成电路 使用S-Edit绘制的CMOS与非门电路如图1。 图1 基本的CMOS二输入与非门电路 1

青岛农业大学电子设计自动化与专用集成电路课程设计报告汇总

青岛农业大学 理学与信息科学学院 电子设计自动化及专用集成电路 课程设计报告 设计题目一、设计一个二人抢答器二、密码锁 学生专业班级 学生姓名(学号) 指导教师 完成时间 实习(设计)地点信息楼121 年 11 月 1 日

一、课程设计目的和任务 课程设计目的:本次课程设计是在学生学习完数字电路、模拟电路、电子设计自动化的相关课程之后进行的。通过对数字集成电路或模拟集成电路的模拟与仿真等,熟练使用相关软件设计具有较强功能的电路,提高实际动手,为将来设计大规模集成电路打下基础。 课程设计任务: 一、设计一个二人抢答器。要求: (1)两人抢答,先抢有效,用发光二极管显示是否抢到答题权。 (2)每人两位计分显示,打错不加分,答对可加10、20、30分。 (3)每题结束后,裁判按复位,重新抢答。 (4)累积加分,裁判可随时清除。 二、密码锁 设计四位十进制密码锁,输入密码正确,绿灯亮,开锁;不正确,红灯亮,不能开锁。密码可由用户自行设置。 二、分析与设计 1、设计任务分析 (1)二人抢答器用Verilog硬件描述语言设计抢答器,实现: 1、二人通过按键抢答,最先按下按键的人抢答成功,此后其他人抢答无效。 2、每次只有一人可获得抢答资格,一次抢答完后主持人通过复位按键复位,选手再从新抢答。 3、有从新开始游戏按键,游戏从新开始时每位选手初始分为零分,答对可选择加10分、20分,30分,最高九十分。 4、选手抢答成功时其对应的分数显示。 (2)密码锁 1、第一个数字控制键用来进行密码的输入 2、第二个按键控制数字位数的移动及调用密码判断程序。当确认后如果显示数据与预置密码相同,则LED 亮;如不相等,则无反应。按下复位键,计数等均复位

cmos模拟集成电路设计实验报告

北京邮电大学 实验报告 实验题目:cmos模拟集成电路实验 姓名:何明枢 班级:2013211207 班内序号:19 学号:2013211007 指导老师:韩可 日期:2016 年 1 月16 日星期六

目录 实验一:共源级放大器性能分析 (1) 一、实验目的 (1) 二、实验内容 (1) 三、实验结果 (1) 四、实验结果分析 (3) 实验二:差分放大器设计 (4) 一、实验目的 (4) 二、实验要求 (4) 三、实验原理 (4) 四、实验结果 (5) 五、思考题 (6) 实验三:电流源负载差分放大器设计 (7) 一、实验目的 (7) 二、实验内容 (7) 三、差分放大器的设计方法 (7) 四、实验原理 (7) 五、实验结果 (9) 六、实验分析 (10) 实验五:共源共栅电流镜设计 (11) 一、实验目的 (11) 二、实验题目及要求 (11) 三、实验内容 (11) 四、实验原理 (11) 五、实验结果 (14) 六、电路工作状态分析 (15) 实验六:两级运算放大器设计 (17) 一、实验目的 (17) 二、实验要求 (17) 三、实验内容 (17) 四、实验原理 (21) 五、实验结果 (23) 六、思考题 (24) 七、实验结果分析 (24) 实验总结与体会 (26) 一、实验中遇到的的问题 (26) 二、实验体会 (26) 三、对课程的一些建议 (27)

实验一:共源级放大器性能分析 一、实验目的 1、掌握synopsys软件启动和电路原理图(schematic)设计输入方法; 2、掌握使用synopsys电路仿真软件custom designer对原理图进行电路特性仿真; 3、输入共源级放大器电路并对其进行DC、AC分析,绘制曲线; 4、深入理解共源级放大器的工作原理以及mos管参数的改变对放大器性能的影响 二、实验内容 1、启动synopsys,建立库及Cellview文件。 2、输入共源级放大器电路图。 3、设置仿真环境。 4、仿真并查看仿真结果,绘制曲线。 三、实验结果 1、实验电路图

集成电路课程设计范例

集成电路课程设计 范例 1

集成电路课程设计 1.目的与任务 本课程设计是《集成电路分析与设计基础》的实践课程,其主要目的是使学生在熟悉集成电路制造技术、半导体器件原理和集成电路分析与设计基础上,训练综合运用已掌握的知识,利用相关软件,初步熟悉和掌握集成电路芯片系统设计→电路设计及模拟→版图设计→版图验证等正向设计方法。 2.设计题目与要求 2.1设计题目及其性能指标要求 器件名称:含两个2-4译码器的74HC139芯片 要求电路性能指标: (1)可驱动10个LSTTL电路(相当于15pF电容负载); (2)输出高电平时,|I OH|≤20μA,V OH,min=4.4V; (3)输出底电平时,|I OL|≤4mA,V OL,man=0.4V; (4)输出级充放电时间t r=t f,t pd<25ns; (5)工作电源5V,常温工作,工作频率f work=30MHz,总功耗P max=150mW。 2.2设计要求 1.独立完成设计74HC139芯片的全过程; 2.设计时使用的工艺及设计规则: MOSIS:mhp_n12;

3.根据所用的工艺,选取合理的模型库; 4.选用以lambda(λ)为单位的设计规则; 5.全手工、层次化设计版图; 6.达到指导书提出的设计指标要求。 3.设计方法与计算 3.174HC139芯片简介 74HC139是包含两个2线-4线译码器的高速CMOS数字电路集成芯片,能与TTL集成电路芯片兼容,它的管脚图如图1所示,其逻辑真值表如表1所示: 图1 74HC139芯片管脚图 表1 74HC139真值表 片选输入数据输出 C s A1 A0 Y0 Y1Y2Y3 0 0 0 0 1 1 1 0 0 1 1 0 1 0 1 0 1 1 0 1

《模拟集成电路设计》复习

《模拟集成电路设计》复习 答疑安排: 第13周星期二(5月29日),上午9:00-11:30,下午14:30-17:00,工三310 考试题型: 七道大题:第2章一题,第3、4章各两题,第5章一题,第6、7章共一题 考试注意事项: 所有题目采用课本P32表2.1的数据,V DD=3V,C OX=3.84 10-7F/cm2,忽略漏/源横向扩散长度L D。试题会给出所需参数值。 时刻区分大信号、小信号。 时刻注意是否考虑二级效应。 题目有“推导”两字时,需给出求解过程。 必考:画小信号等效电路 复习题 例2.2补充问题:(1)分析MOS工作区间变化情况;(2)画出I D-V DS 曲线;(3)推导线性区跨导表达式。 习题2.2注意:跨导的单位。

习题2.3补充问题:给定参数值,计算本征增益的数值。注意:画曲线时需考虑λ与L的关系。 例3.5 补充问题:画出图3.21(b)电路的小信号等效电路,推导增益表达式。 习题3.2问题(b)删去。补充问题:求R out。 习题3.12解题思路:I1→V out→V GS2→(W/L)2→A v 习题3.14 输出摆幅=V DD-V OD1-|V OD2|。 解题思路:A v,R out→g m1→(W/L)1→V OD1→|V OD2|→(W/L)2 第4章课件第49页的题目差模增益-g m1(r o1||r o3),共模增益0,共模抑制比+∞ 例4.6 习题4.18 只要求图4.38(a)-(d)。补充问题:画出半边电路。注意:画半边电路时去掉电流源M5。 习题4.25 计算过驱动电压V OD时忽略沟道长度调制效应。注意双端输出摆幅为单端时的2倍。 习题5.1问题(e)删去。问题(c)和(d)有简单的计算方法。 习题5.5问题(b)(c)删去。λ=0。 例6.4补充问题:画出低频小信号等效电路,推导低频小信号增益;写出C D、C S分别包含哪些MOS电容。 习题6.9 只要求图6.39(a)(b)(c)。 例7.11只计算热输入参考噪声电压。 习题7.11补充问题:推导小信号增益。

集成电路课程设计模板及参考资 [1]...

集成电路课程设计报告 设计课题: 数字电子钟的设计 姓名: 专业: 电子信息工程 学号: 日期 20 年月日——20 年月日指导教师: 国立华侨大学信息科学与工程学院

目录 1.设计的任务与要求 (1) 2.方案论证与选择 (1) 3.单元电路的设计和元器件的选择 (5) 3.1 六进制电路的设计 (6) 3.2 十进制计数电路的设计 (6) 3.3 六十进制计数电路的设计 (6) 3.4双六十进制计数电路的设计 (7) 3.5时间计数电路的设计 (8) 3.6 校正电路的设计 (8) 3.7 时钟电路的设计 (8) 3.8 整点报时电路的设计 (9) 3.9 主要元器件的选择 (10) 4.系统电路总图及原理 (10) 5.经验体会 (10) 参考文献 (11) 附录A:系统电路原理图 (12)

数字电子钟的设计 1. 设计的任务与要求 数字钟是一种…。 此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。而且通过数字钟的制作进一步了解…。 1.1设计指标 1. 时间以12小时为一个周期; 2. 显示时、分、秒; 3. 具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; 4. 计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时; 5. 为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。1.2 设计要求 1. 画出电路原理图(或仿真电路图); 2. 元器件及参数选择(或开发板的考虑); 3. 编写设计报告,写出设计的全过程,附上有关资料和图纸(也可直接写在 相关章节中),有心得体会。 2. 方案论证与选择 2.1 数字钟的系统方案 数字钟实际上是…

集成电路综合实验报告

集成电路设计综合实验 题目:集成电路设计综合实验 班级:微电子学1201 姓名: 学号:

集成电路设计综合实验报告 一、实验目的 1、培养从版图提取电路的能力 2、学习版图设计的方法和技巧 3、复习和巩固基本的数字单元电路设计 4、学习并掌握集成电路设计流程 二、实验内容 1. 反向提取给定电路模块(如下图1所示),要求画出电路原理图,分析出其所完成的逻辑功能,并进行仿真验证;再画出该电路的版图,完成DRC验证。 图1 1.1 查阅相关资料,反向提取给定电路模块,并且将其整理、合理布局。 1.2 建立自己的library和Schematic View(电路图如下图2所示)。 图2 1.3 进行仿真验证,并分析其所完成的逻辑功能(仿真波形如下图3所示)。

图3 由仿真波形分析其功能为D锁存器。 锁存器:对脉冲电平敏感,在时钟脉冲的电平作用下改变状态。锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,当锁存器处于使能状态时,输出才会随着数据输入发生变化。简单地说,它有两个输入,分别是一个有效信号EN,一个输入数据信号DATA_IN,它有一个输出Q,它的功能就是在EN有效的时候把DATA_IN的值传给Q,也就是锁存的过程。 只有在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号。其中使能端A 加入CP信号,C为数据信号。输出控制信号为0时,锁存器的数据通过三态门进行输出。所谓锁存器,就是输出端的状态不会随输入端的状态变化而变化,仅在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号到来时才改变。锁存,就是把信号暂存以维持某种电平状态。 1.4 生成Symbol测试电路如下(图4所示) 图4

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

集成电路课程设计

集成电路课程设计报告 课题:二输入或非门电路与版图设计 专业 电子科学与技术 学生姓名 严 佳 班 级 B 电科121 学号 1210705128 指导教师 高 直 起止日期 2015.11.16-2015.11.29

摘要 集成电路是一种微型电子器件或部件。它是采用一定的工艺,把一个电路中所需的晶体管等有源器件和电阻、电容等无源器件及布线互连在一起,制作在一小块半导体晶片上,封装在一个管壳内,执行特定电路或系统功能的微型结构。在整个集成电路设计过程中,版图设计是其中重要的一环。它是把每个原件的电路表示转换成集合表示,同时,元件间连接的线也被转换成几何连线图形。对于复杂的版图设计,一般把版图设计划分成若干个子版图进行设计,对每个子版图进行合理的规划和布图,子版图之间进行优化连线、合理布局,使其大小和功能都符合要求。 越来越多的电子电路都在使用MOS管,特别是在音响领域更是如此。MOS 管与普通晶体管相比具有输入阻抗高、噪声系数小、热稳定性好、动态范围大等优点,且它是一种压控器件,有与电子管相似的传输特性,因而在集成电路中也得到了广泛的应用。 关键词:CMOS门电路或非门集成电路

绪论 目前,集成电路经历了小规模集成、中规模集成、大规模集成和超大规模集成。单个芯片上已经可以制作包含臣大数量晶体管的、完整的数字系统。在整个集成电路设计过程中,版图设计是其中重要的一环。它是把每个原件的电路表示转换成集合表示,同时,元件间连接的线也被转换成几何连线图形。对于复杂的版图设计,一般把版图设计划分成若干个子版图进行设计,对每个子版图进行合理的规划和布图,子版图之间进行优化连线、合理布局,使其大小和功能都符合要求。版图设计有特定的规则,这些规则是集成电路制造厂家根据自己的工艺特点而制定的。不同的工艺,有不同的设计规则。设计者只有得到了厂家提供的规则以后,才能开始设计。在版图设计过程中,要进行定期的检查,避免错误的积累而导致难以修改。 1.设计要求 (1)学习Multisim软件和L-Edit软件 (2)设计一个基于CMOS的二输入或非门电路。 (3)利用Multisim和L-Edit软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 2.设计目的 (1)熟悉Multisim软件的使用。 (2)L-Edit软件的使用。 (3)培养自己综合运用所学知识、独立分析和解决实际问题的能力,培养创新意识和创新能力,并获得科学研究的基础训练,加深对集成电路版图设计的了解。 3.设计原理 能够实现B =“或非”逻辑关系的电路均称为“或非门”。二输入或 A L+ 非门有两个输入端A和B以及一个输出端L,只有当A端和B端同时为高电平时输出才为低电平,否则输出都为高电平。在一个或门的输出端连接一个非门就构成了“或非门”,如图1.1所示,逻辑符号如图1.2所示,真值表如图1.3所示。

集成电路课程设计报告书

集成电路原理及应用课程设计报告 \\ 题目 DDS芯片AD9850原理及应用 授课教师 学生 学号 专业

教学单位 完成时间 2011年7月1日 摘要:介绍了美国A D公司采用先进的直接数字频率合成 ( DDS )技术推出的高集成度频率合成器 A D9 8 5 0的工作原理、主要特点及其与 MCS51单片机的接口,并给出了接口电路图和部分源程序。同时给出了以AD9850为频率合成器,以AT89S52单片机为进程控制和任务调度核心来设计一个信号频率和幅度都能预置且频率稳定度高的函数信号发生器的设计方法. 引言 随着“软件无线电”技术和数字技术的飞速发展,用数字控制方法从一个参考频率源产生多种频率的技术——直接数字合成器(Direct Digital Synthesizer。DDS)被广泛应用。具体体现在相对带宽宽、频率转换时间短、频率分辨率高、输出相位连续、可产生宽带正交信号及其他多种调制信号、可编程和全数字化、控制灵活方便等方面,并具有极高的性价比。现已广泛应用于通讯、导航、雷达、遥控遥测、电子对抗以及现代化的仪器仪表工业等领域。美国AD公司推出的高集成度的采用先进的CMOS技术的直接频率合成器AD9850是DDS技术的典型产品之一。AD9850是高稳定度的直接数字频率合成器件,部数据输入寄存器、可编程DDS系统、高性能数/模转换器(DAC)及高速比较器,能实现全数字编程控制的频率合成器和时钟发生器,如接上精密时钟源,AD9850可产生一个频谱纯净、频率和相位都可编程控制的正弦信号。AD9850中包含高速比较器,正弦波也可直接用作频率信号源,也可通过比较器转换成方波,作为时钟输出。本文主要介绍了高集成度频率合成器 A D9 8 5 0的工作原理、主要特点及其与 MCS51单片机的接口及应用设计。 一.特性: 1)最高125MHz的时钟频率; 2)片集成高性能模数变换器(10位ADC)和高速比较器; 3)具有良好的动态性能:在40MHz输出时,DAC的抑制寄生动态围(SFDR)仍大于50dB; 4)供电模式可选:+5v或+3.3v单电源供电;

模拟集成电路复习

1、 研究模拟集成电路的重要性:(1)首先,MOSFET 的特征尺寸越来越小,本征速度越来 越快;(2)SOC 芯片发展的需求。 2、 模拟设计困难的原因:(1)模拟设计涉及到在速度、功耗、增益、精度、电源电压等多 种因素间进行折衷,而数字电路只需在速度和功耗之间折衷;(2)模拟电路对噪声、串扰和其它干扰比数字电路要敏感得多;(3)器件的二级效应对模拟电路的影响比数字电路要严重得多;(4)高性能模拟电路的设计很少能自动完成,而许多数字电路都是自动综合和布局的。 3、 鲁棒性就是系统的健壮性。它是在异常和危险情况下系统生存的关键。所谓“鲁棒性”, 是指控制系统在一定的参数摄动下,维持某些性能的特性。 4、 版图设计过程:设计规则检查(DRC )、电气规则检查(ERC )、一致性校验(LVS )、RC 分布参数提取 5、 MOS 管正常工作的基本条件是:所有衬源(B 、S )、衬漏(B 、D )pn 结必须反偏 6、 沟道为夹断条件: ?GD GS DS T DS GS TH H V =V -≤V V V -V ≥V 7、 (1)截止区:Id=0;Vgs

CMOS数字集成电路设计_八位加法器实验报告

CMOS数字集成电路设计课程设计报告 学院:****** 专业:****** 班级:****** 姓名:Wang Ke qin 指导老师:****** 学号:****** 日期:2012-5-30

目录 一、设计要求 (1) 二、设计思路 (1) 三、电路设计与验证 (2) (一)1位全加器的电路设计与验证 (2) 1)原理图设计 (2) 2)生成符号图 (2) 3)建立测试激励源 (2) 4)测试电路 (3) 5)波形仿真 (4) (二)4位全加器的电路设计与验证 (4) 1)原理图设计 (4) 2)生成符号图 (5) 3)建立测试激励源 (5) 4)测试电路 (6) 5)波形仿真 (6) (三)8位全加器的电路设计与验证 (7) 1)原理图设计 (7) 2)生成符号图 (7) 3)测试激励源 (8) 4)测试电路 (8) 5)波形仿真 (9) 6)电路参数 (11) 四、版图设计与验证 (13) (一)1位全加器的版图设计与验证 (13) 1)1位全加器的版图设计 (13) 2)1位全加器的DRC规则验证 (14) 3)1位全加器的LVS验证 (14) 4)错误及解决办法 (14) (二)4位全加器的版图设计与验证 (15) 1)4位全加器的版图设计 (15) 2)4位全加器的DRC规则验证 (16) 3)4位全加器的LVS验证 (16) 4)错误及解决办法 (16) (三)8位全加器的版图设计与验证 (17) 1)8位全加器的版图设计 (17) 2)8位全加器的DRC规则验证 (17) 3)8位全加器的LVS验证 (18) 4)错误及解决办法 (18) 五、设计总结 (18)

集成电路课程设计(范例)

集成电路课程设计 1. 目的与任务 本课程设计是《集成电路分析与设计基础》的实践课程,其主要目的是使学生在熟悉集成电路制造技术、半导体器件原理和集成电路分析与设计基础上,训练综合运用已掌握的知识,利用相关软件,初步熟悉和掌握集成电路芯片系统设计一电路设计及模拟一版图设计一版图 验证等正向设计方法2. 设计题目与要求 2.1 设计题目及其性能指标要求 器件名称:含两个2-4译码器的74HC139芯片 要求电路性能指标: (1)可驱动10个LSTTL电路(相当于15pF电容负载); (2)输出高电平时,|l O H < 20 卩A, V O H min=4.4V; (3)输出底电平时,|l OL| < 4mA V O L ma=0.4V; (4)输出级充放电时间t r=t f , t pd V25ns; (5)工作电源5V,常温工作,工作频率f work = 30MHZ总功耗P max= 150mW。 2.2 设计要求 1. 独立完成设计74HC139芯片的全过程; 2. 设计时使用的工艺及设计规则:MOSlS:mhp_n12; 3. 根据所用的工艺,选取合理的模型库; 4. 选用以lambda(入)为单位的设计规则; 5. 全手工、层次化设计版图; 6. 达到指导书提出的设计指标要求。 3. 设计方法与计算 3.1 74HC139芯片简介 74HC139是包含两个2线-4线译码器的高速CMO数字电路集成芯片,能与TTL集

成电路芯片兼容,它的管脚图如图1所示,其逻辑真值表如表1 所示: 地址输人数据输岀 ▼[>!> Sb A Ob A)b Y (lb lb Y Zb 丫盹 加加 I I I 二 _「 选通I —I 地址输人数擔输出 图1 74HC139芯片管脚图 表1 74HC139真值表 从图1可以看出74HC139芯片是由两片独立的2—4译码器组成的,因此设计时只需分析其中一个2—4译码器即可,从真值表我们可以得出Cs为片选端,当其为0时,芯片正常工作,当其为1时,芯片封锁。A1、A0为输入端,丫0-丫3为输出端,而且是低电平有效。 2—4译码器的逻辑表达式,如下所示: 丫0 C s A A C s A A o 丫 1 C s A A o C s A A o

福州大学集成电路版图设计实验报告

福州大学物信学院 《集成电路版图设计》 实验报告 姓名:席高照 学号:111000833 系别:物理与信息工程 专业:微电子学 年级:2010 指导老师:江浩

一、实验目的 1.掌握版图设计的基本理论。 2.掌握版图设计的常用技巧。 3.掌握定制集成电路的设计方法和流程。 4.熟悉Cadence Virtuoso Layout Edit软件的应用 5.学会用Cadence软件设计版图、版图的验证以及后仿真 6.熟悉Cadence软件和版图设计流程,减少版图设计过程中出现的错误。 二、实验要求 1.根据所提供的反相器电路和CMOS放大器的电路依据版图设计的规则绘制电路的版图,同时注意CMOS查分放大器电路的对称性以及电流密度(通过该电路的电流可能会达到5mA) 2.所设计的版图要通过DRC、LVS检测 三、有关于版图设计的基础知识 首先,设计版图的基础便是电路的基本原理,以及电路的工作特性,硅加工工艺的基础、以及通用版图的设计流程,之后要根据不同的工艺对应不同的设计规则,一般来说通用的版图设计流程为①制定版图规划记住要制定可能会被遗忘的特殊要求清单②设计实现考虑特殊要求及如何布线创建组元并对其进行布局③版图验证执行基于计算机的检查和目视检查,进行校正工作④最终步骤工程核查以及版图核查版图参数提取与后仿真 完成这些之后需要特别注意的是寄生参数噪声以及布局等的影响,具体是电路而定,在下面的实验步骤中会体现到这一点。 四、实验步骤 I.反相器部分: 反相器原理图:

反相器的基本原理:CMOS反相器由PMOS和NMOS构成,当输入高电平时,NMOS导通,输出低电平,当输入低电平时,PMOS导通,输出高电平。 注意事项: (1)画成插齿形状,增大了宽长比,可以提高电路速度 (2)尽可能使版图面积最小。面积越小,速度越高,功耗越小。 (3)尽可能减少寄生电容和寄生电阻。尽可能增加接触孔的数目可以减小接触电阻。(4)尽可能减少串扰,电荷分享。做好信号隔离。 反相器的版图: 原理图电路设计: 整体版图:

模拟集成电路课程设计

模拟集成电路课程设计 设计目的: 复习、巩固模拟集成电路课程所学知识,运用EDA 软件,在一定的工艺模型基础上,完成一个基本功能单元的电路结构设计、参数手工估算和电路仿真验证,并根据仿真结果与指标间的折衷关系,对重点指标进行优化,掌握电路分析、电路设计的基本方法,加深对运放、带隙基准、稳定性、功耗等相关知识点的理解,培养分析问题、解决问题的能力。 实验安排: 同学们自由组合,2 人一个设计小组选择五道题目中的一道完成,为了避免所选题目过度集中的现象,规定每个题目的最高限额为 4 组。小组成员协调好每个人的任务,分工合作,发挥团队精神,同时注意复习课堂所学内容,必要时查阅相关文献,完成设计后对 验收与考核: 该门设计实验课程的考核将采取现场验收和设计报告相结合的方式。当小组成员完成了所选题目的设计过程,并且仿真结果达到了所要求的性能指标,可以申请现场验收,向老师演示设计步骤和仿真结果,通过验收后每小组提交一份设计报告(打印版和电子版)。其中,设计指标,电路设计要求和设计报告要求的具体内容在下面的各个题目中给出了参考。成绩的评定将根据各个小组成员在完成项目中的贡献度以及验收情况和设计报告的完成度来确定。 时间安排: 机房开放时间:2013 年10 月28 日~11 月8 日,8:30~12:00,14:00~18:00 课程设计报告提交截止日期:2012 年11 月15 日 该专题实验的总学时为48 学时(1.5 学分),请同学们安排好知识复习,理论计算与上机设计的时间,该实验以上机设计为主,在机房开放时间内保证5 天以上的上机时间,我们将实行每天上下午不定时签到制度。 工艺与模型: 采用某工艺厂提供的两层多晶、两层金属(2p2m)的0.5um CMOS 工艺,model 文件为/data/wanghy/anglog/model/s05mixdtssa01v11.scs 。绘制电路图时,器件从/data/wanghy/ anglog/st02 库中调用,采用以下器件完成设计: 1)PMOS 模型名mp,NMOS 模型名mn;2) BJT 三种模型可选:qvp5,qvp10,qvp20;3) 电阻模型rhr1k; 4)电容模型cpip。

相关文档
相关文档 最新文档