文档库 最新最全的文档下载
当前位置:文档库 › FPGA应用设计考试试卷+答案+超详细解答

FPGA应用设计考试试卷+答案+超详细解答

FPGA应用设计考试试卷+答案+超详细解答
FPGA应用设计考试试卷+答案+超详细解答

试题区:(试题区必须与答题区同时交回,含答题纸、试题纸、草稿纸的装订试卷不能分拆)

一、单项选择题:(20分)

1.在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是___C___。

A.PROCESS为一无限循环语句;敏感信号发生更新时启动进程,执行完成后,等待下

一次进程启动。

B.敏感信号参数表中,不一定要列出进程中使用的所有输入信号;

C.进程由说明部分、结构体部分、和敏感信号三部分组成;

(进程由声明语句、顺序语句、敏感信号列表组成)

D.当前进程中声明的变量不可用于其他进程。

2.在一个VHDL设计中idata是一个信号,数据类型为integer,数据范围0 to 127,下面哪个赋值语句是正确的___C___。(信号赋值符号 <= )

A.idata := 32;

B.idata <= 16#A0#; (十进制数为:10*16= 160,idata范围为0~127)

C.idata <= 16#7#E1;(十进制数为:7*16^1= 112)

D.idata := B#1010#;

3.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是___C___。

A.FPGA是基于乘积项结构的可编程逻辑器件;

(FPGA芯片基于查找表的可编程逻辑结构)

B.FPGA是全称为复杂可编程逻辑器件;

(FPGA 现场可编程逻辑门阵列,CPLD才是复杂可编程逻辑器件)

C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置;

D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。

(MAX7000系列属CPLD结构)

4.进程中的变量赋值语句,其变量更新是___A___。

(变量(variable)是立即完成的,信号(signal)有延时)

A.立即完成;

B.按顺序完成;

C.在进程的最后完成;

D.都不对。

5.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述___D___。(结构体实现实体的功能,通过对实体的逻辑功能进行描述)

A.器件外部特性;

B.器件的综合约束;

C.器件外部特性与内部功能;

D.器件的内部功能。

6.CASE语句是___A___。(case语句时顺序语句中最重要最常用的顺序语句)

A. 顺序语句

B. 并行语句

C. 其它

D.组合逻辑控制电路语句

7.LIBRARY ___A___; --库的声明

USE IEEE.STD_LOGIC_1164.ALL; --库的引用,引用IEEE库中的std_logic_1164中的所有项目A. IEEE B. STD C.WORK D.ENTITY counter IS

8.下列标识符中,___B___是不合法的标识符。(标识符开头必须是英文字符)

A. State0

B. 9moon

C. Not_Ack_0

D. signall

9.关于VHDL中的数字,请找出以下数字中最大的一个:___A___。(整型数字的表示)

A.2#1111_1110# --二进制数,下划线不影响数值大小,只是增强可读性,转换Array成10进制数为:254

B.8#276# --八进制数,转换成十进制为:190

C.10#170# --十进制数:170

D.16#E#E1 --十六进制数,E为十进制14,后面的E1是指14乘以相应进

制数的1次方,转换成十进制为:14*16^1=224

10.下列EDA软件中,哪一个不具有逻辑综合功能:________。

(逻辑功能简单点说就是利用软件使我们用语言设计的电路优化成最简逻辑,相当于我们数电的最简与或式)

A.Max+Plus II (Quartus II的旧版本)

B.ModelSim (专业的仿真软件,时序和功能仿真,不具备逻辑综合功能)

C.Quartus II (自带)

D.Synplify (专业的逻辑综合工具)

二.简答题30分(每题5分)。

1.根据下面的VHDL语句,描述出相应的电路原理图。

LIBRARY ieee;

USE ieee.std_logic_1164.ALL;

USE ieee.std_logic_unsigned.ALL;

ENTITY cfq_1 IS

PORT (d,cp :IN std_logic;

q,nq :OUT std_logic);

END cfq_1;

ARCHITECTURE ar_4 OF cfq_1 IS

BEGIN

PROCESS (CP)

BEGIN

IF cp=′1′THEN

q <= d;

nq <=NOT d;

END IF;

END PROCESS;

END ar_4;

自己用Quartus II软件生成的,笔试的时候应该用笔来画,元件名应与实体名一致cfq_1。2.quartusⅡ开发工具为设计者提供了哪些库?各有什么功能?(摘自《FPGA

系统设计与实例》P32)

1) IEEE库:被IEEE采用的标准化库,是VHDL设计中最重要的库;

2) STD库:所用设计单元所共享,默认的库;

3) VITAL库:提高VHDL门级时序模拟的精度;

4) WORK库:用户的VHDL 设计的现行工作库用于存放用户设计和定义的一些设计单元和程序包

5)用户自定义库:设计者自己建立的设计单元资源库。

3.quartusⅡ开发工具,图形文件的扩展名是? 波形文件的扩展名是?

使用VHDL语言,文本设计文件的扩展名是?

图形文件扩展名:gdf;

波形文件扩展名:vwf

VHDL文本设计文件扩展名:vhd

4.简述FPGA的结构

FPGA由可编程逻辑块(CLB)、输入/输出模块(IOB)及可编程互连资源(PIR)等三种可编程电路和一个SRAM结构的配置存储单元组成。

1)可编程逻辑块(CLB):主要由逻辑函数发生器、触发器、数据选择器等电路组成。

2)输入/输出模块(IOB):主要由输入触发器、输入缓冲器和输出触发/锁存器、输出缓

冲器组成,每个IOB控制一个引脚,它们可被配置为输入、输出或双向I/O功能。

3)可编程互连资源(PIR):由许多金属线段构成,这些金属线段带有可编程开关,通过

自动布线实现各种电路的连接。实现FPGA内部的CLB和CLB之间、CLB和IOB之间的连接。

5.什么叫功能仿真?什么叫时序仿真?、两者有什么区别?

功能仿真又称前仿真,是在不考虑器件延时的理想情况下的一种项目验证方法,通过功能仿真来验证一个项目的逻辑功能是否正确。

时序仿真又称模拟仿真或后仿真,是在考虑设计项目具体适配器件的各种延时的情况下的一种项目验证方法。时序仿真不仅测试逻辑功能,还测试目标器件最差情况下的时间关系。

注:功能仿真无延时(验证逻辑是否正确时用),时序仿真有延时(仿真出实实在在的芯片工作波形,实际芯片是有延时的)。

6.名词解释,写出下列缩写的中文(或者英文)含义:

1.VHDL 超高速集成电路硬件描述语言

(V ery-High-Speed Integrated Circuit HardwareDescription Language)

2.FPGA 现场可编程逻辑门阵列

(Field-Programmable Gate Array)

3.RTL 寄存器传输级

(Register-Transfer Level)

4.SOPC 可编程片上系统

(System-on-a-Programmable-Chip)

5.EAB 嵌入式阵列块

(Embedded Array Block)

https://www.wendangku.net/doc/ff5758246.html,B 逻辑阵列块

(Logic Array Block)

三、判断下列程序是否有错误,如有则指出错误所在,并给出完整程序。(20分)

下列程序是用VHDL语言编写的上升沿控制的D触发器(原理图如下)

library ieee;

use ieee.std_logic_unsigned.all;____use IEEE.std_logic_1164.all;___ --此D触发器没用到无符号算术运算,所以不用std_logic_unsigned 库。

entity dff1 is

port(clk,d:in std_logic;

Q:out std_logic) ____Q:out std_logic) ;___ --少了个“;”号

end dff1;

architecture one of d is ____architecure one of dff1 is___ --实体名不正确,dff1

begin

process ____process(clk)___ --VHDL中大小写无影响

begin

if clk ='1' ____if (clk’event and clk=’1’) then ___ --题目要求是上升沿控制的D触发器then Q<=d; ____Q <= d; ___ --then 一般紧接if语句

end if;

end process;

end d; ____end one;___ --结构体名不正确,one

四、VHDL程序填空:(10分)

下面程序是参数可定制带计数使能异步复位计数器的VHDL描述,试补充完整。

程序

-- N-bit Up Counter with Load, Count Enable, and

-- Asynchronous Reset

library ieee;

use IEEE.std_logic_1164.all;

use IEEE.__ std_logic_unsigned __.all;

use IEEE.std_logic_arith.all;

entity counter_n is

__generic__ (width : integer := 8);

port(data : in std_logic_vector (width-1 downto 0);

load, en, clk, rst : _out__ std_logic;

q : out std_logic_vector (___width-1___ downto 0));

end counter_n;

architecture behave of ____ counter_n ____ is

signal count : std_logic_vector (width-1 downto 0);

begin

process(clk, rst)

begin

if rst = '1' then

count <= ___ (others => ‘0’) _____; ―― 清零-–others=>’0’是比较专业的写法,可以 count <= “00000000”;,8位标准向量,直接每一位给0;

elsif ___(clk‘event and clk=1) ____ then ―― 边沿检测

if load = '1' then

count <= data;

___elsif___en = '1' then

count <= count + 1;

___ end if _____;

end if;

end process;

___ q <= count; ______

end behave;

注:由于是电子稿,应注意下划线。

四、编程序(20分)

1.已知电路原理图如下,请用VHDL语言编写其程序

VHDL程序设计:(15分)

设计一数据选择器MUX,其系统模块图和功能表如下图所示。试采用下面三种方式中的两种来描述该数据选择器MUX的结构体。

SEL COUT

00

01

10

11

OTHERS

A or B

A xor B

A nor B

A and B

“XX”

(a)用if语句(b)用case 语句(c)用when else语句

---------------------库引用---------------------------

library IEEE;

use IEEE.std_logic_1164.all;

---------------------实体声明-------------------------

entity myMux is

port (

sel :in std_logic_vector(1 downto 0); --选择信号输入两位标准逻辑向量

Ain, Bin :in std_logic_vector(1 downto 0); --数据输入两位标准逻辑向量

Cout :out std_logic_vector(1 downto 0) --数据输出

);

end myMux;

---------------------结构体behave描述-----------------------

architecture behave of myMux is --采用case语句描述

begin

process(sel, Ain, Bin)

begin

case sel is

when "00" => Cout <= Ain or Bin;

when "01" => Cout <= Ain xor Bin;

when "10" => Cout <= Ain and Bin;

when "11" => Cout <= Ain nor Bin;

when others => Cout <= "XX";

end case;

end process;

end behave;

---------------------结构体behave2描述-----------------------

architecture behave2 of myMux is --采用when else语句描述

begin

Cout <= Ain or Bin when sel="00" else

Ain xor Bin when sel="01" else

Ain and Bin when sel="10" else

Ain not Bin when sel="11" else

"XX" when sel=others ;

end behave2;

注:这里我只写了case语句和when else语句,if语句没写,并且我这是自己写的,与叶深上传的有点区别,建议参考叶深的资料。考试时我们写完一个VHDL,直接在结构体下补充另一个结构体就行。

2.(5分)用元件例化语句设计如图所示电路。元件为2输入与非门。

---------------------库引用---------------------------

library IEEE;

use IEEE.std_logic_1164.all;

---------------------实体声明-------------------------

entity yf4 is

port (

A, B, C, D :in std_logic;

Z :out std_logic

);

end yf4;

---------------------结构体行为描述-----------------------

architecture behave of yf4 is

--元件声明

component yf2

port (

A1, B1 :in std_logic;

C1 :out std_logic

);

end component;

signal x, y :std_logic; --定义两个中间信号变量

begin

U1 :yf2 port map (A, B, X);

U2 :yf2 port map (C, D, Y);

Y3 :yf2 port map (A1 => X, C1 => Z, B1 => Y);

end behave;

注:此题,在题目中已注明元件为两输入与非门,所以我们直接声明元件就行。如果没说,我们就的另外写一个两输入与非门的程序。

-----------------------------------------------

--两输入与非门程序

--file name :myNor.vhd

--------------------- --------------------------

---------------------库引用--------------------------- library IEEE;

use IEEE.std_logic_1164.all;

---------------------实体声明------------------------- entity myNor is

port (

a,b :in std_logic;

c :out std_logic

);

end myNor;

---------------------结构体行为描述----------------------- architecture behave of myNor is

begin

c <= not(a an

d b);

end behave;

(完整版)FPGA温度测量设计毕业设计

毕业论文FPGA温度测量设计

摘要 温度作为一种最基本的环境参数,与人民的生活有着密切关系。温度的测量和控制在工业、农业、国防、医疗等各个领域中应用普遍。温度测量仪是一种常用的检测仪器。 本文首先介绍了DS18B20的工作原理,利用数字温度传感器DS18B20的数据接口特点,展示了FPGA(Field-Programmable Gate Array,即现场可编程逻辑门阵列)的使用方法以及Verilog HDL (HDL:Hardware Discription Language)语言的编程,完成了基本温度测量功能。给出了硬件电路和软件设计,此设备具有结构简单、转换速度快、精确性高,扩展性好等优点。 关键词:FPGA;DS18B20;测温;Verilog HDL语言

Design of temperature measurement based on FPGA Abstract Tenperture is one of the most basic environmental parameters, and it industry, agriculture, national defense,medical and other fields, temperature measurement and control was widely used.The temperature measuring instrument is a kind of common testing instrument. In this paper,first we introduces the work principle of DS18B20,and the characteristics of data interface of digital temperature sensor DS18B20, demonstrated Language)programming language,accomplished the function of temperature measurement. Given the .The device . Key Words: FPGA;DS18B20;Temperature measurement;Verilog HDL language 目录 中文摘要 (1) 英文摘要 (2) 1绪论 (1) 1.1题目背景意义 (1) 1.2工作内容 (2)

2020年整理宴会设计期末试卷(A).doc

武夷山职业学院2011-2012学年度第一学期《宴会设计》期末考试卷A (考试时间90分钟)出卷教师:叶芳 专业年级学号姓名 一、单项选择题:(本大题共10小题,每题2分,共20分,把答案的选项填在表格中) 1、大型宴会人数应该在多少人以上。() A 100~200人 B 100人以下 C 200人以上 D 50~100人 2、宴会场景的主要设计要素应包括哪一个。() A 服务员的着装 B 酒店的开办时间 C 酒店的规模 D 色彩 3、一般宴会厅的冬季温度不低于多少度。() A 18~22℃ B 15~18℃ C 22~25℃ D 13~16℃ 4、宴会促销中的电视广告的优点,以下哪项不是它的优点。() A 宣传范围广 B 广告费用高 C 直观性强 D 声誉好 5、中餐宴会厅使用较多、功能最多的一种餐桌是。() A 方台 B 长条台 C 转台 D 圆台 6、宴席的命名有多种分类有海鲜宴、湖鲜宴、野味宴等命名是根据。() A 头道菜命名 B 主要用料分 C 席面布置分 D进餐形式分 7、把宴会与娱乐结合在一起,这种餐饮形式起源的朝代是。() A 夏朝 B 殷商 C 春秋战国 D

周代 8、宴会部与餐饮部在经营特点上的相同点是_________。() A 人数众多、形式多样 B 用餐标准一样 C 场面隆重、气氛热烈 D 菜肴、服务质量高 9、宴会设计,首先要确定的是。() A 宴会主题 B 宴会菜单 C 宴会环境 D 宴会服务 10、能决定宴会厅格调的,宴会厅气氛设计首要考虑的关键因素之一是。() A 气味 B 色彩 C 光线 D 主色调 二、填空题:(本大题共7小题,每空1分,共22分) 1、根据宴会按内容和形式分类可分为______________、______________、______________、_______________和茶话会等。 2、宴会预定的方式有_____________、______________、______________。 3、宴会菜单的编写除了考虑______________、和特殊要求之外,另一个必须考虑的重要因素是________________。 4. 西餐宴会的台型设计主要有以下几种常见形式:、、 、、、星形台等。 5、宴会促销可以分为_____________、_____________两种。 6、广告推销中,常用的户外广告有、、。 7、酒店宴会部组织机构有____________部、____________部、____________部构成。

FPGA试卷+答案+超详细解答

电子与信息学院10应用电子技术教育2班 科目:<>(X)卷 考试形式:闭卷考试时间:100 分钟 院(系)别、班级:姓名:学号: 20XX.X.考试试卷含答题纸、试题纸、草稿纸的装订试卷不能分拆) 试题区:(试题区必须与答题区同时交回,含答题纸、试题纸、草稿纸的装订试卷不能分拆) 一、单项选择题:(20分) 1.在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是___C___。 A.PROCESS为一无限循环语句;敏感信号发生更新时启动进程,执行完成 后,等待下一次进程启动。 B.敏感信号参数表中,不一定要列出进程中使用的所有输入信号; C.进程由说明部分、结构体部分、和敏感信号三部分组成; (进程由声明语句、顺序语句、敏感信号列表组成) D.当前进程中声明的变量不可用于其他进程。

电子与信息学院10应用电子技术教育2班 2.在一个VHDL设计中idata是一个信号,数据类型为integer,数据范围0 to 127,下面哪个赋值语句是正确的___C___。(信号赋值符号<= ) A.idata := 32; B.idata <= 16#A0#; (十进制数为:10*16= 160,idata范围为0~127) C.idata <= 16#7#E1;(十进制数为:7*16^1= 112) D.idata := B#1010#; 3.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是___C___。 A.FPGA是基于乘积项结构的可编程逻辑器件; (FPGA芯片基于查找表的可编程逻辑结构) B.FPGA是全称为复杂可编程逻辑器件; (FPGA 现场可编程逻辑门阵列,CPLD才是复杂可编程逻辑器件) C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置; D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。 (MAX7000系列属CPLD结构) 4.进程中的变量赋值语句,其变量更新是___A___。 (变量(variable)是立即完成的,信号(signal)有延时) A.立即完成; B.按顺序完成; 第2页(不含草稿纸部分共18页

基于单片机的毕业设计题目

单片机类 业设计 刷电子时钟的设计 刷全自动节水灌溉系统--硬件部 刷数 式温度计的设计 刷温度 控系统设计 刷基于单片机的语音提示测温系统的研究 刷简易无线电遥控系统 刷数 流 计 刷基于单片机的全自动洗衣机 刷水塔智能水 控 系统 刷温度箱模拟控 系统 刷超声波测距仪的设计 刷基于51单片机的L司号点阵显示屏系统的设计与实 16×16点阵显示屏 刷基于A切89分51单片机的数 电子时钟 刷基于单片机的步 电机的控 刷基于单片机的交流调 器设计 刷基于单片机的数 电压表的设计 刷单片机的数 钟设计 刷智能散热器控 器的设计 刷单片机打铃系统设计 刷基于单片机的交通信 灯控 电路设计 刷基于单片机的电话 程控 家用电器系统设计 刷基于单片机的安全 警器 刷基于单片机的 路抢答器设计 刷基于单片机的超声波测距系统的设计 刷基于MC分-51数 温度表的设计 刷电子体温计的设计 刷基于A切89C51的电话 程控 系统 刷基于A三R单片机幅度 调的号号分信 发生器 刷基于单片机的数控稳压电源的设计 刷基于单片机的室内一氧化碳 测及 警系统的研究 刷基于单片机的空调温度控 器设计 刷基于单片机的 编程多 能电子定时器 刷单片机的数 温度计设计 刷红外遥控密码锁的设计 刷基于61单片机的语音识别系统设计 刷家用 燃气体 警器的设计 刷基于数 温度计的多点温度检测系统 刷基于凌 单片机的语音实时采集系统设计 刷基于单片机的数 频率计的设计 刷基于单片机的数 电子钟设计 刷设施 境中温度测 电路设计 刷汽车倒车 撞 警器的设计 刷篮球赛计时记 器

刷基于单片机的家用智能总线式开关设计 刷设施 境中湿度检测电路设计 刷基于单片机的音乐合成器设计 刷设施 境中二氧化碳检测电路设计 刷基于单片机的水温控 系统设计 刷基于单片机的数 温度计的设计 刷基于单片机的火灾 警器 刷基于单片机的红外遥控开关设计 刷基于单片机的电子钟设计 刷基于单片机的红外遥控电子密码锁 刷大棚温湿度自动 控系统 刷基于单片机的电器遥控器的设计 刷单片机的语音 储与 放的研究 刷基于单片机的电 热炉温度控 系统设计 刷红外遥控电源开关 刷基于单片机的 频信 发生器设计 刷基于单片机的呼叫系统的设计 刷基于PIC16F876A单片机的超声波测距仪 刷基于单片机的密码锁设计 刷单片机步 电机转速控 器的设计 刷由A切89C51控 的太 能热水器 刷 盗与恒温系统的设计与 作 刷A切89分52单片机实验系统的开发与 用 刷基于单片机控 的数 气压计的设计与实 刷智能压力传感器系统设计 刷智能定时器 刷基于单片机的智能火灾 警系统 刷基于单片机的电子式转速 程表的设计 刷 交车汉 显示系统 刷单片机数 电压表的设计 刷精密三F转换器与MC分-51单片机的接口技术 刷基于单片机的居室安全 警系统设计 刷基于89C2051 IC卡读/写器的设计 刷PC机与单片机串行通信设计 刷球赛计时计 器设计 刷 系列PCL五层电 控 系统设计 刷自动起闭光控窗帘设计 刷单片机控 交通灯系统设计 刷基于单片机的电子密码锁 刷基于51单片机的多路温度采集控 系统 刷点阵电子显示屏-- 业设计 刷超声波测距仪-- 业设计 刷单片机对玩 小车的智能控 业设计论文 刷基于单片机控 的电机交流调速 业设计论文

宴会设计试题

全国2010年4月自学考试宴会设计试题 课程代码:00990 一、单项选择题(本大题共20小题,每小题1分,共20分) 在每小题列出的四个备选项中只有一个选项是符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。 1.西方正式宴会一般为( ) A.午茶B.夜宵 C.晚宴D.茶歇 2.把酒水开瓶后倒入公杯内,由客人自己取用。适用这种服务的酒水是( ) A.白酒B.红酒 C.黄酒D.青酒 3.中国古代宴会礼仪中的座位顺序是( ) A.虚坐尽后B.食坐尽前 C.让食不唾D.以左为上 4.中国现存最早的完整的宴会菜单是( ) A.周代八珍宴B.战国楚宫宴 C.先秦酬酢宴D.魏晋文会宴 5.宴会部组织机构设置的正确原则是( ) A.以管理人员的能力大小为原则B.以尽可能多地吸收员工为原则 C.以举办宴会活动的多寡为原则D.以实际情况、业务需要为原则 6.宴会部产销一体制模式的组织结构的劣势是( ) A.与顾客交流不够直接B.产品独立性不够强 C.酒店集体支撑较少D.市场反映不够灵敏 7.设计宴会面点首先要考虑的因素是( ) A.与宴会形式相适应B.与就餐季节相适应 C.与宴会档次相适应D.与临近节日相适应 8.科学规范的酒水服务中,第一项服务程序是( ) A.开瓶B.斟酒 C.示酒D.试酒 9.设计宴会气氛时,用以填补宴会厅房空间的最佳饰物是( )

A.手工艺品B.古典饰品 C.植物和花草D.书法和画卷 10.设计宴会厅气氛的关键是( ) A.外部气氛B.内部气氛 C.无形气氛D.有形气氛 11.安排大型中式宴会座位时,要为可能额外出现的客人留出座位。预留的座位数应是客人总数的( ) A.5%B.10% C.15%D.20% 12.适用于突出主题的宴席台面的花台插花是( ) A.线状花B.块状花 C.造型花D.点状花 13.西式宴会摆台中,糖盅与奶盅的摆放位置分别是( ) A.左、右B.右、左 C.前、后D.后、前 14.菜肴出品的控制要点主要体现在两个方面,即厨房出品和( ) A.就餐环境B.餐具特色 C.餐饮服务D.菜肴数量 15.宴会部低值易耗餐具的损耗率一般控制在( ) A.3‰B.4‰ C.5‰D.6‰ 16.中式宴会厅配备最多的餐桌是( ) A.长方桌B.圆台 C.长条台D.方台 17.以特定人物为对象、更具个性化和亲切感、向他人直接宣传的广告形式是( ) A.大堂指示牌B.电梯广告 C.客房宣传单D.直邮广告 18.宴会预定中,所有收到的信件都应加盖文书处理章,其内容包括流水号和( ) A.寄信人姓名B.寄信人地址 C.收到时间D.收信人姓名 19.宴会产品策划的第一项任务是( ) A.市场调查B.产品设计 C.价格定位D.制定服务水准 20.确保菜肴质量最为关键的环节是( )

FPGA试卷+答案+超详细解答

20XX—20XX学年度第(X)学期期末考试试卷科目:<>(X)卷 考试形式:闭卷考试时间:100 分钟 院(系)别、班级:姓名:学号: 试题区:(试题区必须与答题区同时交回,含答题纸、试题纸、草稿纸的装订试卷不能分拆)一、单项选择题:(20分) 1.在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是___C___。 A.PROCESS为一无限循环语句;敏感信号发生更新时启动进程,执行完成后, 等待下一次进程启动。 B.敏感信号参数表中,不一定要列出进程中使用的所有输入信号; C.进程由说明部分、结构体部分、和敏感信号三部分组成; (进程由声明语句、顺序语句、敏感信号列表组成) D.当前进程中声明的变量不可用于其他进程。 2.在一个VHDL设计中idata是一个信号,数据类型为integer,数据范围0 to 127,下面哪个赋值语句是正确的___C___。(信号赋值符号 <= ) A.idata := 32; B.idata <= 16#A0#; (十进制数为:10*16= 160,idata范围为0~127) C.idata <= 16#7#E1;(十进制数为:7*16^1= 112) D.idata := B#1010#;

3.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是___C___。 A.FPGA是基于乘积项结构的可编程逻辑器件; (FPGA芯片基于查找表的可编程逻辑结构) B.FPGA是全称为复杂可编程逻辑器件; (FPGA 现场可编程逻辑门阵列,CPLD才是复杂可编程逻辑器件) C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置; D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。 (MAX7000系列属CPLD结构) 4.进程中的变量赋值语句,其变量更新是___A___。 (变量(variable)是立即完成的,信号(signal)有延时) A.立即完成; B.按顺序完成; C.在进程的最后完成; D.都不对。 5.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述___D___。(结构体实现实体的功能,通过对实体的逻辑功能进行描述) A.器件外部特性; B.器件的综合约束; C.器件外部特性与内部功能; D.器件的内部功能。 6.CASE语句是___A___。(case语句时顺序语句中最重要最常用的顺序语句) A. 顺序语句 B. 并行语句 C. 其它 D.组合逻辑控制电路语句 7. LIBRARY ___A___; --库的声明 USE IEEE.STD_LOGIC_1164.ALL; --库的引用,引用IEEE库中的std_logic_1164中的所有项目A. IEEE B. STD C.WORK D.ENTITY counter IS

基于FPGA的数字频率计设计毕业论文

武汉轻工大学 毕业设计外文参考文献译文本 2013届 原文出处:from Vin Skahill.VHDL for Programmable Logic page 76-88 毕业设计题目:基于FPGA的数字频率计设计 院(系):电气与电子工程学院 专业名称:电子信息科学与技术 学生姓名: 学生学号: 指导教师:

Introduction of digital frequency meter Digital Frequency is an indispensable instrument of communications equipment, audio and video, and other areas of scientific research and production . In addition to the plastic part of the measured signal, and digital key for a part of the show, all the digital frequency using Verilog HDL designed and implemented achieve in an FPGA chip. The entire system is very lean, flexible and have a modification of the scene. 1 、And other precision measuring frequency Principle Frequency measurement methods can be divided into two kinds: (1) direct measurement method, that is, at a certain time measurement gate measured pulse signal number. (2) indirect measurements, such as the cycle frequency measurement, VF conversion law. Frequency Measurement indirect measurement method applies only to low-frequency signals. Based on the principles of traditional frequency measurement of the frequency of measurement accuracy will be measured with the decline in signal frequency decreases in the more practical limitations, such as the accuracy and frequency of measurement not only has high accuracy, but also in the whole frequency region to maintain constant test accuracy. The main method of measurement frequency measurement Preferences gated signal GATE issued by the MCU, GATE time width on the frequency measurement accuracy of less impact, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M Signals are not overflow line, in accordance with the theoretical calculation GATE time can be greater than the width Tc 42.94 s, but due to the single-chip microcomputer data processing capacity constraints, the actual width of less time, generally in the range of between 0.1 s choice, that is, high-frequency, shorter gate;, low gate longer. This time gate width Tc based on the size of the measured frequency automatically adjust frequency measurement in order to achieve the automatic conversion range, and expanded the range of frequency measurement; realization of the entire scope of measurement accuracy, reduce the low-frequency measurement error. The design of the main methods of measuring the frequency measurement and control block diagram as shown in Figure 1. Figure 1 Preferences gated signal GA TE issued by the MCU, GA TE time width of less frequency measurement accuracy, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M

4月宴会设计试题及答案

全国2012年4月自学考试宴会设计试题 一、单项选择题(本大题共20小题,每小题1分,共20分) 1、人们为了社会交往之目的,举行的集饮食、社交、娱乐于一体的活动是(C ) A、聚会 B、开会 C、宴会 D、相会 2、宴席菜点的上菜顺序是先冷后热、先酒菜后饭菜和(B ) A、先甜后咸 B、先咸后甜 C、先辣后酸 D、先酸后辣 3、宴会从祭祀祖先演变为社会交往活动的朝代是(A ) A、夏朝 B、殷商 C、周代 D、两汉 4、中国宴会发展史上开始使用瓷器餐具的朝代是(B ) A、夏朝 B、唐朝 C、宋朝 D、明朝 5、高档酒店宴会部的面积一般占酒店餐厅总面积的(D ) A、5%~10% B、15%~20% C、25%~30% D、35%~50% 6、按照餐位数配置宴会销售员,1名销售人员对应的餐位数一般是( D ) A、10~50位 B、50~80位 C、80~100位 D、100~150位 7、宴会设计,首先要确定(D ) A、宴会菜单 B、宴会环境 C、宴会服务 D、宴会主题 8、宴会设计首先要满足的是(B )

A、酒店需求 B、主人需求 C、主陪需求 D、副陪需求 9、为了使长方形宴会厅的有效使用面积最高,厅房长宽的最佳比例是(A ) A、1.25:1 B、2.25:1 C、3.25:1 D、4.25:1 10、宴会厅使用的最佳光源是(B ) A、烛光 B、白炽光 C、荧光 D、自然光 11、宴会台面每个餐位整套餐具的摆放位置是座椅的( C ) A、左前方 B、右前方 C、正前方 D、任意方 12、能营造出中国式喜庆气氛的冷餐宴会的主菜台桌形是(C ) A、五角星形 B、V形 C、串灯笼形 D、U形 13、宴会餐厅设计的内容有台面设计、环境设计和(D ) A、菜单设计 B、菜肴设计 C、点心设计 D、服务设计 14、宴席台面每位客人餐具的摆放,一般离桌边的间距为(B ) A、0.5厘米 B、1.5厘米 C、2.5厘米 D、3.5厘米 15、酒店直接管理宴会固定资产的部门是(B ) A、餐饮部 B、宴会部 C、财务部 D、工程部 16、酒店餐具损耗率一般控制在(B ) A、1‰左右 B、5‰左右 C、10‰左右 D、15‰左右 17、最具有亲和力的宴会销售方式是(A )

FPGA试题

【第一章】 1、FPGA芯片的发展主要体现在哪几个方面?未来的发展趋势是什么? (1) 大容量、低电压、低功耗 (2) 系统级高密度 (3) FPGA和ASIC出现相互融合。 (4) 动态可重构 2、EDA技术的优势是什么? 缩短开发周期,有各类库的支持,简化逻辑设计,有利于设计文档的管理,能仿真测试,开发者有自主权,将所有开发环节纳入统一的自顶向下的设计中,有效的利用了计算机的自动设计能力。 3、EDA的设计流程包括哪几个环节? ①设计输入(原理图/HDL文本编辑)②综合③FPGA/CPLD 适配④时序仿真与功能仿真⑤FPGA/CPLD编程下载⑥FPGA/CPLD器件电路硬件检测。 4、硬件描述语言的种类有哪些? VHDL 、Verilog HDL、SystemVerilog、System C 等 5、自顶向下设计方法的优点是什么? 过程大部分由计算机完成,可植性强,便于系统的优化和升级,以及对模型进行及时的修改,以改进系统或子系统的功能,更正设计错误,提高目标系统的工作速度,减小面积耗用,降低功耗和成本等。在EDA

技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。高效,高稳定性,省时省力,成本较低。 6、ip核可分为哪几类? ①软IP 、②固IP、③硬IP 7、ip在EDA技术的应用和发展中的意义是什么? IP就是将某些功能固化,而当EDA设计也需要这些功能的时候,就可以直接将植入了此功能的IP拿过来直接用,而不用再重新设计。这样既可以提高效率又可以减少设计风险。IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。 8、EDA的概念Electronic Design Automation,电子设计自动化。 简单一点EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言完成设计文件,然后由计算机自动地完成逻辑编译,化简,分割,综合,优化,布局,布线和仿真,直至对于特定目标芯片的适配编译,逻辑映射和编程下载等工作。 9、VHDL与verilog的优缺点的对比 Verilog代码简明扼要,操作灵活方便,使用简单,有效的简化了设计过程。Vhdl语句严谨,有很好的行为级描述能力和系统级描述能力。缺点是代码过于冗长,对数据类型匹配要求过 于严格,对版图级,管子级的描述几乎不支持。 【第二章】 1、可编程逻辑器件经历哪些发展过程?

电子类毕业设计题目

盼盼电子设计网本网站承接电子类毕业设计论文一条龙服务!!! 电子毕业设计:12 1.基于FPGA的PCI总线设计 2.基于FPGA的UART接口设计 3.基于单片机的数字电压表 4.单片机控制的全自动洗衣机毕业设计 电梯控制的设计与实现 6.恒温箱单片机控制 7.单片机脉搏测量仪 8.单片机控制步进电机毕业设计论文 9.函数信号发生器设计论文 变电所一次系统设计 11.报警门铃设计论文 单片机交通灯控制 13.单片机温度控制系统 通信系统中的接入信道部分进行仿真与分析 15.仓库温湿度的监测系统 16.基于单片机的电子密码锁 17.单片机控制交通灯系统设计 18.基于DSP的IIR数字低通滤波器的设计与实现

19.智能抢答器设计 20.基于LabVIEW的PC机与单片机串口通信设计的IIR数字高通滤波器 22.单片机数字钟设计 23.自动起闭光控窗帘毕业设计论文 24.三容液位远程测控系统毕业论文 25.基于Matlab的PWM波形仿真与分析 26.集成功率放大电路的设计 27.波形发生器、频率计和数字电压表设计 28.水位遥测自控系统毕业论文 29.宽带视频放大电路的设计毕业设计 30.简易数字存储示波器设计毕业论文 31.球赛计时计分器毕业设计论文 数字滤波器的设计毕业论文 机与单片机串行通信毕业论文 34.基于CPLD的低频信号发生器设计毕业论文 35. 基于labVIEW虚拟滤波器的设计与实现序列在扩频通信中的应用 37.正弦信号发生器 38.红外报警器设计与实现 39.开关稳压电源设计 40.基于MCS51单片机温度控制毕业设计论文

41.步进电动机竹竿舞健身娱乐器材 42.单片机控制步进电机毕业设计论文 43.单片机汽车倒车测距仪 44.基于单片机的自行车测速系统设计 45.水电站电气一次及发电机保护 46.基于单片机的数字显示温度系统毕业设计论文 47.语音电子门锁设计与实现 48.工厂总降压变电所设计-毕业论文 49.单片机无线抢答器设计 50.基于单片机控制直流电机调速系统毕业设计论文 51.单片机串行通信发射部分毕业设计论文 52.基于VHDL语言PLD设计的出租车计费系统毕业设计论文 53.超声波测距仪毕业设计论文 54.单片机控制的数控电流源毕业设计论文 55.声控报警器毕业设计论文 56.基于单片机的锁相频率合成器毕业设计论文 57.基于Multism/protel的数字抢答器 58.单片机智能火灾报警器毕业设计论 59.无线多路遥控发射接收系统设计毕业论文 60.单片机对玩具小车的智能控制毕业设计论文 61.数字频率计毕业设计论文 62.基于单片机控制的电机交流调速毕业设计论文

宴会设计期末试卷(A)

(考试时间 90分钟)出卷教师:叶芳 专业年级学号姓名 一、单项选择题:(本大题共10小题,每题2分,共20分,把答案的选项填在表格中) 1、大型宴会人数应该在多少人以上。() A 100~200人 B 100人以下 C 200人以上 D 50~100人 2、宴会场景的主要设计要素应包括哪一个。() A 服务员的着装 B 酒店的开办时间 C 酒店的规模 D 色彩 3、一般宴会厅的冬季温度不低于多少度。() A 18~22℃ B 15~18℃ C 22~25℃ D 13~16℃ 4、宴会促销中的电视广告的优点,以下哪项不是它的优点。() A 宣传范围广 B 广告费用高 C 直观性强 D 声誉好 5、中餐宴会厅使用较多、功能最多的一种餐桌是。() A 方台 B 长条台 C 转台 D 圆台 6、宴席的命名有多种分类有海鲜宴、湖鲜宴、野味宴等命名是根据。() A 头道菜命名 B 主要用料分 C 席面布置分 D进餐形式分 7、把宴会与娱乐结合在一起,这种餐饮形式起源的朝代是。() A 夏朝 B 殷商 C 春秋战国 D 周代

8、宴会部与餐饮部在经营特点上的相同点是_________。() A 人数众多、形式多样 B 用餐标准一样 C 场面隆重、气氛热烈 D 菜肴、服务质量高 9、宴会设计,首先要确定的是。() A 宴会主题 B 宴会菜单 C 宴会环境 D 宴会服务 10、能决定宴会厅格调的,宴会厅气氛设计首要考虑的关键因素之一是。() A 气味 B 色彩 C 光线 D 主色调 二、填空题:(本大题共7小题,每空1分,共22分) 1、根据宴会按内容和形式分类可分为______________、______________、______________、_______________和茶话会等。 2、宴会预定的方式有_____________、______________、______________。 3、宴会菜单的编写除了考虑______________、和特殊要求之外,另一个必须考虑的重要因素是________________。 4. 西餐宴会的台型设计主要有以下几种常见形式:、、 、、、星形台等。 5、宴会促销可以分为_____________、_____________两种。 6、广告推销中,常用的户外广告有、、。 7、酒店宴会部组织机构有____________部、____________部、____________部构成。

FPGA试卷+答案+超详细解答

广东技术师范学院电子与信息学院10应用电子技术教育2班 20XX—20XX学年度第(X)学期期末考试试卷科目:<>(X)卷 考试形式:闭卷考试时间:100 分钟 院(系)别、班级:姓名:学号: 试题区:(试题区必须与答题区同时交回,含答题纸、试题纸、草稿纸的装订试卷不能分拆) 一、单项选择题:(20分) 1.在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是___C___。 A.PROCESS为一无限循环语句;敏感信号发生更新时启动进程,执行完成后, 等待下一次进程启动。 B.敏感信号参数表中,不一定要列出进程中使用的所有输入信号; C.进程由说明部分、结构体部分、和敏感信号三部分组成; (进程由声明语句、顺序语句、敏感信号列表组成) D.当前进程中声明的变量不可用于其他进程。 2.在一个VHDL设计中idata是一个信号,数据类型为integer,数据范围0 to 127,下面哪个赋值语句是正确的___C___。(信号赋值符号 <= ) A.idata := 32; B.idata <= 16#A0#; (十进制数为:10*16= 160,idata范围为0~127) C.idata <= 16#7#E1;(十进制数为:7*16^1= 112) D.idata := B#1010#;

3.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是___C___。 A.FPGA是基于乘积项结构的可编程逻辑器件; (FPGA芯片基于查找表的可编程逻辑结构) B.FPGA是全称为复杂可编程逻辑器件; (FPGA 现场可编程逻辑门阵列,CPLD才是复杂可编程逻辑器件) C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置; D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。 (MAX7000系列属CPLD结构) 4.进程中的变量赋值语句,其变量更新是___A___。 (变量(variable)是立即完成的,信号(signal)有延时) A.立即完成; B.按顺序完成; C.在进程的最后完成; D.都不对。 5.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述___D___。(结构体实现实体的功能,通过对实体的逻辑功能进行描述) A.器件外部特性; B.器件的综合约束; C.器件外部特性与内部功能; D.器件的内部功能。 6.CASE语句是___A___。(case语句时顺序语句中最重要最常用的顺序语句) A. 顺序语句 B. 并行语句 C. 其它 D.组合逻辑控制电路语句 7.LIBRARY ___A___; --库的声明 USE IEEE.STD_LOGIC_1164.ALL; --库的引用,引用IEEE库中的std_logic_1164中的所有项目A. IEEE B. STD C.WORK D.ENTITY counter IS

2016年中餐主题宴会设计赛项接待方案创意设计测试题库

2016年全国职业院校技能大赛中餐主题宴会设计赛项 接待方案创意设计主题题库 测试要求: 参赛队根据接待方案创意设计的主题,(参赛队可根据主题内涵与要求,设定具体地区、城市与宴请对象。)三人协作分工进行,利用电脑与互联网查阅资料并完成接待方案的撰写。在规定时间内提交打印版文案以及一份菜单设计的实样。文案设计按每桌10位宾客进行宴席设计,文案字数控制在2500—3000字;提交的菜单实样必须为现场制作完成。菜单实样制作部分由主办单位提供绘制菜单之基本内外页、水彩笔,队伍也可自行携带相关笔具、文具、纸类、素材等进场绘制,但不可携带已完成印刷品、印有图案之纸张、电脑绘制品等非现场制作成品。比赛时间共180分钟。该项分值占总分值的30%。技术平台: 操作系统Win7系统,办公系统office2010版 接待方案创意设计主题题库: 主题一 纽约爱乐乐团来当地演出交流,当地政府在其下榻酒店设宴宴请。请针对此次宴请设计接待方案。 主题二 雀巢公司中国区负责人一行到某地工厂视察工作,工厂负责人在其入住的某酒店设宴宴请,请针对此次宴请设计接待方案。 主题三 某城市预申报某项国际级体育赛事,该赛事组委会委员对该城市

进行考察,当地政府在其下榻酒店设宴款待。请针对此次宴请设计接待方案。 主题四 某协会举办绿色环保的高峰论坛,世界各国的学者和企业家齐聚一堂,当地政府设宴款待主要嘉宾。请针对此次宴请设计接待方案。 主题五 某地举办历史科学大会,世界各国学者齐聚一堂,当地政府设宴款待主要嘉宾。请针对此次宴请设计接待方案。 主题六 国外某知名企业奖励优秀员工到中国旅游度假,该公司中国区域负责人设宴款待。请针对此次宴请设计接待方案。 主题七 某石油集团到当地的某五星级酒店举行年终总结大会,公司高层领导宴请集团先进个人和销售能手。请针对此次宴请设计接待方案。主题八 某对老夫妇的钻石婚,子女设宴为其庆祝。请针对此次宴请设计接待方案。 主题九 中方某企业与外方企业成功签署战略合作协议,特设庆祝晚宴。请针对此次宴请设计接待方案。 主题十 某地政府与国外某城市缔结友好城市20周年,该国外城市代表到国内考察并参加庆祝活动,当地政府组织晚宴予以接待。请针对此次宴请设计接待方案。

EDA(FPGA)期末考试试题

这是长期总结的EDA期末考试试题 试题一 1-2与软件描述语言相比,VHDL有什么特点? P6 答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。 l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5 什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 有哪些类型? 答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。 (2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。 (3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。 (4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。 综合在电子设计自动化中的地位是什么? 答:是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。 1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P7~10 答:在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。 1-5 IP在EDA技术的应用和发展中的意义是什么? P11~12 答:IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。 2-1 叙述EDA的FPGA/CPLD设计流程。 P13~16 答:1.设计输入(原理图/HDL文本编辑);2.综合;3.适配;4.时序仿真与功能仿真;5.编程下载;6.硬件测试。 2-2 IP是什么?IP与EDA技术的关系是什么? P24~26 IP是什么? 答:IP是知识产权核或知识产权模块,用于ASIC或FPGA/CPLD中的预先设计好的电路功能模块。 IP与EDA技术的关系是什么? 答:IP在EDA技术开发中具有十分重要的地位;与EDA技术的关系分有软IP、固IP、硬IP:软IP是用VHDL等硬件描述语言描述的功能块,并不涉及用什么具体电路元件实现这些功能;软IP通常是以硬件描述语言HDL源文件的形式出现。固IP是完成了综合的功能块,具有较大的设计深度,以网表文件的形式提交客户使用。硬IP提供设计的最终阶段产品:掩模。 3-1 OLMC(输出逻辑宏单元)有何功能?说明GAL是怎样实现可编程组合电路与时序电路的。 P34~36 答:OLMC单元设有多种组态,可配置成专用组合输出、专用输入、组合输出双向口、寄存器输出、寄存器输出双向口等。 说明GAL是怎样实现可编程组合电路与时序电路的? 答:GAL(通用阵列逻辑器件)是通过对其中的OLMC(输出逻辑宏单元)的编程和三种模式配置(寄存器模式、复合模式、简单模式),实现组合电路与时序电路设计的。 3-2 什么是基于乘积项的可编程逻辑结构? P33~34,40 答:GAL、CPLD之类都是基于乘积项的可编程结构;即包含有可编程与阵列和固定的或阵列的PAL(可编程阵列逻辑)器件构成。 3-3 什么是基于查找表的可编程逻辑结构? P40~41 答:FPGA(现场可编程门阵列)是基于查找表的可编程逻辑结构。 3-7 请参阅相关资料,并回答问题:按本章给出的归类方式,将基于乘积项的可编程逻辑结构的PLD器件归类为CPLD;将基于查找表的可编程逻辑结构的PLD器什归类为FPGA,那么,APEX系列属于什么类型PLD器件? MAX II系列又属于什么类型的PLD器件?为什么? P54~56 答:APEX(Advanced Logic Element Matrix)系列属于FPGA类型PLD器件;编程信息存于SRAM中。MAX II系列属于CPLD类型的PLD器件;编程信息存于EEPROM中。 4-3. 图3-31所示的是双2选1多路选择器构成的电路MUXK,对于其中MUX21A,当s='0'和'1'时,分别有y<='a'和y<='b'。试在一个结构体中用两个进程来表达此电路,每个进程中用CASE语句描述一个2选1多路选择器MUX21A。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY MUX221 IS PORT(a1,a2,a3:IN STD_LOGIC_VECTOR(1 DOWNTO 0); --输入信号 s0,s1:IN STD_LOGIC; outy:OUT STD_LOGIC);--输出端 END ENTITY; ARCHITECTURE ONE OF MUX221 IS SIGNAL tmp : STD_LOGIC; BEGIN

相关文档