文档库 最新最全的文档下载
当前位置:文档库 › 数电课程设计_八路彩灯控制器

数电课程设计_八路彩灯控制器

数电课程设计_八路彩灯控制器
数电课程设计_八路彩灯控制器

湖南工程学院

课程设计

课程名称电子技术课程设计

课题名称彩灯控制器

专业电气工程及其自动化

班级 1102

学号 0210

姓名郭昕

指导教师田莉

2013年 12月 27日

湖南工程学院

课程设计任务书

课程名称:电子技术课程设计

题目:多功能数字钟电路

专业班级:电气1102

学生姓名:郭昕学号:0210

指导老师:田莉

审批:田莉

任务书下达日期 2013年 12月 16日

设计完成日期 2013年 12月 27日

目录

一课程设计题目(与实习目的).................. 错误!未定义书签。(一)、题目:多路彩灯控制器.................... 错误!未定义书签。(二)、实习目的:................................ 错误!未定义书签。二总体方案的选择................................ 错误!未定义书签。(1)总体方案的设计 ............................. 错误!未定义书签。(2)总体方案的选择 ............................. 错误!未定义书签。三单元电路的设计................................ 错误!未定义书签。(1)花型演示电路................................ 错误!未定义书签。(2)花型控制信号电路........................... 错误!未定义书签。(3)节拍控制电路................................ 错误!未定义书签。(4)时钟信号电路原理图......................... 错误!未定义书签。四总体电路图(见附页)......................... 错误!未定义书签。五电路组装后,实际测量的各个单元电路的输入、输出信号波形错误!未定义书签。

六安装、调试过程................................ 错误!未定义书签。七故障分析与电路改进........................... 错误!未定义书签。(一)、巩固数电知识 ............................. 错误!未定义书签。(二)、学会用电路板、芯片、导线等组装各种功能的电路;错误!未定义书签。

(三)、和同学共同合作、互相学习、共同进步.... 错误!未定义书签。八总结:......................................... 错误!未定义书签。九附录(元器件清单):.......................... 错误!未定义书签。十参考文献。.................................... 错误!未定义书签。

一课程设计题目(与实习目的)

(一)、题目:多路彩灯控制器

(二)、实习目的:

1.进一步掌握数字电路课程所学的理论知识。

2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。

3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。

4.培养认真严谨的工作作风和实事求是的工作态度。

5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。

二总体方案的选择

(1)总体方案的设计

针对题目设计要求,经过分析与思考,拟定以下二种方案:方案一:总体电路共分三大块。第一块实现花型的演示;第二块实现花型的控制;第三块实现时钟信号的产生。

主体框图如下:

方案二:在方案一的基础上将整体电路分为四块。第一块实现花型的演示;第二块实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。 主体框图如下:

根据所提供的实验器材各模块总体思路如下:

时钟信号CP 电路:参见高等教育出版社 王淑银主编的《数字电路与逻辑设计》课本P404图10-3-6(a );

花型控制电路:由1614位二进制同步计数器完成;

花型演示电路:由195 双向移位寄存器完成(可左移右移完成花型变化);

时钟信号CP 花型控制电路

花型演示电

节拍控制电路:节拍变化由151八选一数据选择器完成,节拍的快慢变化可有74双上升沿D触发器完成,它可实现二分频。

(2)总体方案的选择

方案一与方案二最大的不同就在,方案一是基于基本要求而设计的,方案二加入了节拍的变化,花型控制电路和花型演示电路的CP都是节拍控制之后的CP。

两种方案的基本思路相同,将整个设计电路的功能模块化,设计思想比较简单。元件种类使用少,且都较熟悉易于组装电路。

这么设计的出发点是:电路设计模块化,易于检查电路,对后面的电路组装及电路调试都很方便。。花型控制电路简单,花型也比较简单。

由于在设计的构想时期,已经确定将电路模块化,设计的过程中又已经将节拍控制电路设计出来,通过仿真软件也实现了设计要求——分频。方案二同时完成了选做的要求,只要确保每一模块实现其功能方案二并不难也不复杂,为了确保短时间内完成课程设计和高效率,我选择了方案二。

三单元电路的设计

(1)花型演示电路

由二片移位寄存器194实现。其八个输出信号端连接八个发光二极管,用其输出信号控制发光二级管的亮灭实现花型演示。而花型之间的变化通过花型控制电路的输出即161级联的计数器输出控制(它们由同一个CP脉冲控制)。

三种花型变换样式

花型1:8路灯分两半。从左至右渐亮,全亮后,再分两半从左至右渐灭。循环两次;

花型2:从中间到两边对称地逐次渐亮,全亮后仍由中间到两边逐次渐灭。循环两次;

花型3:从左至右顺次渐亮。全亮后逆序渐灭。循环两次。

移存器输出状态编码表

我的设计是每种花型完整显示两遍,所以三种花型完全显示一遍需要的总结拍数为64,即1~16显示第一个花型,17~32显示第二个花型,33~64显示第三个花型。

要用194实现三个花型的连续显示必须对两片194的S1、S0和SL、SR一句节拍的变化进行相应的改变,通过161的输出反馈来控制经过观察每16个CP低位片输出Q1—Q4变化比较频繁,根据变化的花型频率选用高位片的Q5—Q6去控制194的SL、SR、S1、S0的变化从而实现滑行的变化。现将两片194分为低位片1和高位片2,再将其输出端从低位到高位记为L1~L8。列出各花型和其对应的194的S1、S0、SL、SR的输入信号及节拍控制信号列表如下:(用^Li表示Li

的取非)

列出卡诺图分析 194低位片

SL=^L8

S1=Q B . ^ Q A

SR=^L8

SL=^ ( Q A . ^Q B )

194高位片

SL=X

SR=^ Q B . L4+ Q B .^L8

S1=0

S0=1

由上图分析可以得到控制194高、低位片的左移右移变化控制端S1、S0以及串

行输入端的由161的输出端Q A 、Q B 和本身输出端L4和^L8控制。用去Q A —Q D 表示161从低位到高位的个输出端。 控制结果表达式如下:

电路图如下:

(2)花型控制信号电路

由二片161级联的模128(三种花型节拍每种显示两遍,再总体重复一遍的总节拍数)计数器。

161的级联用的是同步,并用^QH清零。

当三种花型全新显示一遍后(总共64拍)161的输出变为00000100

所以将161高位片的Q

2(即Q

G

)信号输给节拍控制电路的151的A

来通过节拍控制电路改变第二遍花型显示的频率。161的CP脉冲来自节拍控制电路中74LS151的输出端Y。

电路图如下:

(3)节拍控制电路

由一片151和一片74级联实现。整体上实现脉冲频率的变换,即交替产生快慢节拍。

令74的Vcc,CLR,PR都接高电平,将^Q的输出接到D端,Q端的输出接到151的D1端。令151的B,C,G~,GND,D2~D7接低电平,Vcc

接高电平,D0接时钟信号的CP脉冲,A端接由花型控制电路的QG 输出。

所以Y端的输出就为:Y=CP·^A+Q·A

(Q是74D触发器的输出端)由D触发器具有记忆功能,记录上一个状态,所以在每一个CP脉冲的上升沿,Q输出为上一次的记录(即一个脉冲)。也就比时钟信号电路的CP脉冲慢了一拍。

所以通过A为0或1选择Y端输出的脉冲的频率。A端接的是161的高位片的QC即当到达第64拍时QC为1接下来的65~128拍为变慢后的脉冲输出。

电路图如下:

(4)时钟信号电路原理图

由一片555加上适当电容及电阻实现。电容取:μfμf

电阻取:150 kΩkΩ

电路图如下:

四总体电路图(见附页)

注:由于仿真画图时芯片复杂,CP产生电路产生用电源代替(已试验,可以等效)。

五电路组装后,实际测量的各个单元电路的输入、输出信号波形

1.基本CP脉冲产生电路波形图与分频电路波形图

EDA课程设计:八路彩灯控制器

EDA课程设计 设计题目:基于VHDL的8路彩灯控制器设计 一、课程设计的目的 1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。 2.增强自己实际动手能力,独立解决问题的能力。 3.通过课程设计对所学的知识进行更新及巩固. 二、课程设计的基本要求 本次课程设计是设计一个8路彩灯控制器,能够控制8路彩灯按照两种节拍,三种花型循环变化。设计完成后,通过仿真验证与设计要求进行对比,检验设计是否正确。 三、课程设计的内容 编写硬件描述语言VHDL程序,设计一个两种节拍、三种花型循环变化的8路彩灯控制器,两种节拍分别为和。三种花型分别是: (1)8路彩灯分成两半,从左至右顺次渐渐点亮,全亮后则全灭。 (2)从中间到两边对称地渐渐点亮,全亮后仍由中间向两边逐次熄灭。 (3)8路彩灯从左至右按次序依次点亮,全亮后逆次序依次熄灭。 四、实验环境 PC机一台;软件QuartusⅡ 五、课程设计具体步骤及仿真结果 1、系统总体设计框架结构 分频模块:把时钟脉冲二分频,得到另一个时钟脉冲,让这两种时钟脉冲来交替控制花型的速度。 二选一模块:选择两种频率中的一个控制彩灯的花型。 8路彩灯的三种花型控制模块:整个系统的枢纽,显示彩灯亮的情况。

2、系统硬件单元电路设计 1.分频模块设计 实验程序:library ieee; use fenpin2 is port( clk:in std_logic; clkk:out std_logic); end fenpin2; architecture behav of fenpin2 is begin process(clk) variable clkk1:std_logic:='0'; begin if clk'event and clk='1' then clkk1:= not clkk1; end if; clkk<=clkk1; end process; end behav; RTL电路图: 波形图:

花样彩灯课程设计

摘要在许多场合都可以看到彩色霓虹灯,LED彩灯由于其彩色丰富,造价低廉,控制简单等特点受到了广泛的应用。本系统是以51系列单片机AT89C51为主控器,附有LED发光二极管,用51系列单片机AT89C51来控制LED发光二极管亮灭,系统运行稳定,花样多多。 关键词彩色霓虹灯AT89C51 LED 一方案设计与论证 1.1单片机 方案一STC89C52 STC89C52是STC公司生产的一种低功耗、高性能CMOS8位微控制器,具有8K在系统可编程Flash存储器。能为许多嵌入式控制引用系统提供高灵活、超有效的解决方案。 方案二AT89C51 AT89C51是一种带4K字节Flash存储器的低电压、高性能COMS 8位微处理器。AT89C51单片机能为很多嵌入式系统提供一种灵活性高且价廉的方案。 虽然STC89C52具有51单片机不具备的功能,单本系统操作简单,且51单片机价格低廉,所以我们选择方案二。 二硬件电路设计 2.1 主控模块 2.1.1 AT89C51引脚图

图2.1.1 AT89C51引脚图 2.1.2AT89C51引脚功能介绍 Vss:接地端。 Vcc:电源端。 XTAL1:接外部晶体的一个引脚。CHMOS单片机采用外部时钟信号时,时钟信号由此脚引入。 XTAL2:接外部晶振的一个引脚。HMOS单片机采用外部时钟信号时,外部时钟信号由此脚接入。 RST:(1)复位信号输入;(2)Vcc掉电后,此引脚可接备用电源,低功耗条件下保持内部RAM中的数据。 ALE//PROG:地址锁存允许。 /PSEN:程序锁存允许。 /EA/Vpp:EA=0,单片机只访问外部程序存储器。EA=1,单片机访问内部程序存储器。 P0口----P0口可以作为输入/输出口,在实际应用中,常作为地址/数据总线口,即低8位地址与数据线分时使用P0口。低8位地址由ALE信号的下跳沿锁存到外部地址锁存器中,而高8位地址由P2口输出。 P1口----P1口每一位都能作为可编程的输入或输出口线。 P1.0:T2引脚,定时/计数器2外部计数脉冲。 P1.1:T2EX引脚,定时/计数器2触发和方向控制。 P1.5:MOSI引脚,在系统编程数据输入。 P1.6:MISO引脚,在系统编程数据输出。 P1.7:SCK引脚,在系统编程时钟输入。 P2口----P2口可以作为输入口或输出口使用,外接存储器和I/O接口时,又作为扩展系统的地址总线,输出高8位地址,与P0口一起组成16位地址总线。对于内部无程序存储器的单片机来说,P2口一般只作为地址总线使用,而不作

数电课程设计题目汇总..

数电课程设计题目选 一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED 数码管显示,要求测量分辨率为0.1℃,数据测量间隔时间为5秒。 〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法 2)利用电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM 查表法非线性校正两种方法) 3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 〖参考原理框图〗系统参考原理框图如下: 〖主要参考元器件〗 MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通 精密电位器代替。 二、十二小时电子钟 〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。 〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时(非语音报时) 5)定时功能 〖参考原理框图〗: 〖主要参考元器件〗:CD4060,74LS74,74LS161,74LS248 电桥电路 供电电路 时钟电路 放大电路 A/D 转换 显示电路 时校 分校 秒校 24进制时计数器 单次或连续的脉冲 60进制分计数器 分频器 60进制秒计数器 译码电路 晶体振荡器 显示电路 译码电路 显示电路 显示电路 译码电路

三、电平感觉检测仪 〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,测试时采用头戴式传感器、闭上双目,单脚立地:保持静止,开始测试。定时时间为1分钟 〖提高要求〗 〖参考原理、框图〗: 〖主要参考元器件〗CD4060,555,74LS74 四、便携式快速心律计 基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED 显示。 〖提高要求〗1)提高测量精度的方法 2)设计能比较准确测量1S 内心跳的电路 〖参考原理框图〗 〖主要参考元器件〗CD4060,4528,4518;4511,14526 五、数字式定时开关 〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD 拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED 数码管显示。此开关预置时间以后通过另一按钮控 制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。 〖提高要求〗 l)输出部分加远距离(100m)继电器进行控制 2)延长定时时间 3)探讨提高定时精度的方法 〖参考原理框图〗 外部操作开关 〖主要参考元器〗:CC4511,CC14522,CD4060 传感器 基准时间产生电路 倍频器 放大与整形 控制电路 计数译码 显 示电 路 秒脉冲发生器 计时器 译码显示 控制电路 报警电路

八路彩灯课程设计八路彩灯控制器的设计

课程设计报告 课程: 数字电路 题目:八路彩灯控制器的设计 院系: 物理与电子信息学院 专业: 2011级电子信息科学与技术 学号: 20111302031 姓名: 李俊 完成日期: 2008 年 6 月 22 日

摘要:本次八路彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。关键词:控制、循环、555定时电路 一、设计内容与设计要求 1.设计目的 ⑴学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。 ⑵掌握计数、译码、显示综合电路的设计与调试方法。 ⑶掌握实际输出电路不同要求的实现方法。 2.设计任务 八路彩示系统,该系统实现以下功能: ⑴八路彩灯从左向右逐次渐亮,间隔为1秒。 ⑵八路彩灯从右向左逐次渐灭,间隔为1秒。 ⑶八路彩灯同时亮,时间为0.5秒,然后同时变暗,时间为0.5秒,反复4次。 3.设计要求 ⑴确定总体设计方案画出总方框图,确定各单元电路的功能,进行单元电路的设计,画出逻辑图。 ⑵选择元器件型号。 ⑶画出总逻辑图和装配图,并在实验板上组装电路。 ⑷进行电路调试,使其达到设计要求。 ⑸写出总结报告。 4.参考器件 555定时器、计数器、双向移位寄存器、显示译码管、数码显示管、发光二极管及一些门电路等。 二、总体设计思路 根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。时钟脉冲产生电路由74LS163分频实现,循环控制电路由74LS163和74LS10实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。 三、总体设计原理 1.基本原理 由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和

LED流水灯《八路彩灯控制电路》

《八路彩灯控制电路》课程设计报告 《八路彩灯控制电路》课程设计报告 专业:电子信息工程 班级:2010级2班 姓名:X X 学号:XXXXXXXX 同组成员:XX、XX 指导教师:XXX 2011年12月28日

八路彩灯控制电路 目录 一、课程设计目的 .................................... - 2 - 二、课程设计描述和要求............................... - 2 - (一) 彩灯控制器设计要求........................... - 2 - (二) 课程设计的总体要求........................... - 2 - 三、课程设计内容 .................................... - 3 - (一)原理分析................................... - 3 - (二) 器件选择..................................... - 5 - (三)电路连线................................... - 6 - 1.时钟信号电路 ................................... - 6 - 2. 花型控制信号电路 .............................. - 6 - 3.花型演示电路 ................................... - 7 - 4.总体电路图..................................... - 9 - 5.电路测试....................................... - 9 - 四、分析与总结 ..................................... - 11 -

PLC彩灯控制课程设计

P L C彩灯控制课程设计 The Standardization Office was revised on the afternoon of December 13, 2020

基于P L C 的彩灯控制

目录 课程设计任务书 (1) 引言 (2) 一 PLC的定义及发展 (3) 二系统分配 (6) 硬件分配图 (6) 软件分配图 (7) 三方案设计 (8) 顺序工程图 (8) 程序运行步骤 (9) 四总结 (10) 参考文献 (11)

基于PLC的彩灯控制 一、控制要求: 系统启动后,L1、L5同时亮,然后熄灭;之后L2、L8同时亮,然后熄灭;接着L3、L7同时亮,然后熄灭;最后L4、L6同时亮,然后熄灭,按照此方式循环5次后再逆向闪烁5次,并循环。 二、设计任务 1.设计出硬件系统的结构图、接线图; 2.系统有启动、停止功能; 3.运用功能指令进行PLC控制程序设计; 4.程序结构与控制功能自行创新设计; 5.进行系统调试,实现上述功能。

引言 随着社会市场经济的不断繁荣和发展,各种装饰彩灯、广告彩灯越来越多地出现在城市中。在大型晚会的现场,彩灯更是成为不可缺少的一道景观。小型的彩灯多为采用霓虹灯管做成各种各样和多种色彩的灯管,或是以日光灯、白炽灯作为光源,另配大型广告语、宣传画来达到效果。这些灯的控制设备多为数字电路。而在现代生活中,大型楼宇的轮廓装饰或大型晚会的灯光布景,由于其变化多、功率大,数字电路则不能胜任。针对PLC日益得到广泛应用的现状,本文介绍PLC在不同变化类型的彩灯控制中的应用,灯的亮灭、闪烁时间 及流动 方向的控制均通过PLC来达到控制要求。在彩灯的应用中,装饰灯、广告灯、布景灯的变化多种多样,但就其工作模式,可分为三种主要类型:长明灯、流水灯及变幻灯。长明灯的特点是只要灯投入工作,负载即长期接通,一般在彩灯中用以照明或衬托底色,没有频繁的动态切换过程,因此可用开关直接控制,不需经过PLC控制。流水灯负载变化频率高,变换速度快,使人有眼花缭乱之感,分为多灯流动、单灯流动等情形。变幻灯则包括字形变化、色彩变化、位置变化等,其主要特点是在整个工作过程中周期性地花样变化,但频率不高。流水灯及变幻灯均适宜采用PLC控制。 关键词:PLC 循环控制

八路彩灯控制器 课程设计

目录 第一章总体设计 (5) 1.1设计目的 (5) 1.2设计任务与要求 (5) 1.3基本工作原理 (5) 1.4设计方案 (5) 第二章单片机简介 (6) 2.1单片机结构 (6) 2.2单片机的封装形式、引脚定义及功能 (7) 2.3单片机的工作原理 (8) 2.4 CPU的工作原理 (8) 2.5存储器结构 (9) 2.6 CPU时序及时钟电路 (10) 2.7复位操作 (12) 第三章硬件设计 (14) 3.1整体硬件接结构 (14) 3.2功能模块电路 (14) 3.3系统硬件原理电路图 (16) 第四章软件设计 (17) 4.1软件总体结构设计 (17) 4.2各功能模块设计 (18) 总结与心得 (25) 参考文献: (26)

第一章总体设计 1.1设计目的 1)了解单片机的基本原理及相关的简单应用。 2)掌握用单片机设计系统的一般步骤。 3)了解LED数码管的基本知识和驱动方法。 4)掌握单片机系统各个组成部分的作用以及分布位置。 5)学会运用单片机的硬件资源。 1.2设计任务与要求 8个彩灯的控制电路的任务为:用AT89S51单片机设计设计一个8个彩灯控制电路。要求:完成以下花形变化: 1) 从左到右依次点亮,8个灯全亮;从右到左依次熄灭,8个灯全灭。时间节拍为1秒。 2)从两边向中间依次点亮,8个灯全亮;从中间向两边依次熄灭,8个灯全灭。时间节拍为1秒。 3)循环往复,用LED管模拟彩灯。 4)用汇编语言编程,用proteus仿真。 1.3基本工作原理 此次使设计一个能控制八路彩灯的控制器,其中彩灯用发光二极管模拟。由P1.6和P1.7口控制电路启动与停止,根据彩灯的亮灭要求,利用数据指针查表,将查到的内容送给P2口进行显示,然后调用1s延时程。若查到的内容为跳出代码就重新开始循环。 1.4设计方案 软件方面:通过汇编语言编程实现不同要求的状态,由延时程序实现1秒的延时时间。硬件方面:彩灯启动与停止由P1.6和P1.7口进行控制,彩灯的显示状态由AT89C51的P2口输出显示。芯片的控制由AT89C51的外接晶振和复位电路进行控制。

彩灯控制器课程设计汇本报告

数字电子技术课程设计报告 专业名称: 班级: 姓名: 学号: 日期:

目录 一、设计要求 (1) 二、设计的作用、目的 (1) 三、设计的具体实现 (1) 1、系统概述 (1) 2、单元电路设计、分析与仿真 (2) 3、电路的安装与调试 (6) 四、心得体会及建议 (7) 五、附录 (7) 六、参考文献 (8)

设计报告 一、设计要求 说明:1.以十个指示灯作为显示器件,能自动的从左到右、从右到左的依次被点亮,如此周而复始,不断循环。 2.打开电源时可自动清零,每个指示灯被点亮的时间约为0.5S—2S 围。 3.用计算机画出电路设计图,进行仿真分析验证其正确性。 4.写设计说明书一份。 二、设计的作用、目的 1、为了培养学生运用相关课程的基础理论和技能解决实际问题,并进一步提高学生抓也基本技能、创新能力的重要实践教学环节。 2、培养学生正确的设计思想与方法、严谨的科学态度和良好的工作作风。 3、通过课程设计,学生受到设计与写作方法的初步训练,能用文字、图形和现代设计写作方法系统地、正确地表达课程设计。 4、巩固、深化和扩展学生的理论知识与初步的专业技能。 5、熟悉各种芯片的功能和应用。 6、完成彩灯控制器的设计,绘出电路原理图。 7、调试电路,是否能正常工作。 理工大学课程设计:彩灯控制器 三、设计的具体实现 1)系统概述

此电路主要由三部分组成,其整体框图如图(一)所示。 振荡电路计数译码驱动电路显示电路 图(一) 2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图二所示。 图(二) (2)计数器/译码分配器 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十进制计数/分频器4017,它是一种用途非常广泛的电路。其部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。

六路彩灯循环控制器数电课程设计报告书

一.设计目的及要求 1.1 课程设计的目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim软件对电路仿真。 4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5种演示花型: 花型1:6路彩灯同时亮; 花型2:6路彩灯同时灭; 花型3:6路彩灯从左至右逐路点亮; 花型4:6路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6路彩灯右侧三个全亮,同时左侧三个全灭;

要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3、花型4,花型5、花型1······且电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图 2.1 题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个74LS160,一个74LS42和两个74HC194,这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2题目设计 花型1,111111;花型2,000000;花型3,100000——010000——001000——000100——000010——000001;花型4,111000;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3 结构框图

8路彩灯控制电路设计

《8路彩灯控制电路设 计》 祥设计报告 专业:_______________ 班级:_______________ 姓名: ________________ 学号:_______________ 指导教师:____________

2014年6月25日

1 ?课程设计的目的 2. 课程设计题目描述和要求 3. 电路设计 4. 设计过程中遇到的问题及解决办法 5. 结论与体会 附表参考书目

1 ?课程设计目的 1.熟悉仿真软件Multisim ,使用软件经行电路仿真; 2.第握数字电路课程学习的常见芯片的功能,熟悉其工作原理: 3.了解数字系统设计的基本思想和方法,学会科学的分析问题、解决问题; 4.增强学生动手能力,增加学生理论和实践结合的机会。 2. 课程设计题目描述和要求 设计题目 八路彩灯控制电路设计,即设计并制作8路彩灯控制电路,用以控制8个 LED按照不同的花色闪烁 设计要求 1. 接通电源,电路开始工作,LED灯闪烁: 2. LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式 3. 电路设计 3. 1闪烁花型设计 花型I :8路彩灯分成两半从右到左依次点亮,全亮后再从右到左依次熄灭。花型1【:8路彩灯由中间到两讪对称的依次点亮,余亮后仍由中间到两劝对称熄灭。 花型1【1: 8路彩灯分成两半从左到右依次点亮,全亮后再从左到右依次熄灭。 花型IV: 8路彩灯由两边到中间对称的依次点亮,全亮后仍由两边到中间对称熄灭。 花型状态编码表如表3. 1. 1所示。 每种花型连续循环两次,四种花型轮流交替,为了更好的显示结果本文用指示灯模拟彩灯。 表3. 1. 1 8路彩灯输出状态编码表

数电课程设计-四花样彩灯控制器

课程设计说明书 课程设计名称:数字电路课程设计 课程设计题目:四花样彩灯控制器 学院名称:信息工程学院 专业:通信工程班级:110422 学号:姓名:陈粤龙 评分:教师: 20 13 年9 月23 日 数字电路课程设计任务书20 12 -20 13 学年第 1 学期第19 周-20周 题目四花样彩灯控制 内容及要求 (1) 彩灯一亮一灭,从左向右移动 (2) 彩灯两亮两灭,从左向右移动 (3) 四亮四灭,从左向右移动 (4) 从1~8从左到右逐次点亮,然后逐次熄灭 (5) 四种花样自动变换。 进度安排 1.?布置任务、查阅资料、选择方案、领仪器设备:2天 2.?仿真、画PCB线路图:2天 3.?领元器件、制作、焊接:3天 4.?调试:2天 5.?验收:1天 6.?提交报告:2013-2014学年第一学期?2-3周 学生姓名:陈粤龙

注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。 前 言 彩灯控制器有着非常广泛的运用,如:LED 彩灯,音乐彩灯控制器,二维彩灯控制器等等,现简单介绍如下: 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。LED 彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用 彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED 彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一 指导时间:2周 指导地点: E610 任务下达 2013年 9月 22日 任务完成 2013 年 9 月 25日 考核方式 1.评阅 □ 2.答辩 □ 3.实际操作□ 4.其它 □ 指导教师 系(部)主任 摘 要 彩灯控制器在我门日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理。本次设计的四花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。 本次课程设计要设计一个四花样彩灯控制器。首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。根据四种花样确定这四种码,可通过模十六计数器74LS161的输出端接与门74LS08和非门74LS04产生。要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS153的四个输入端,它的地址输入端接双D 触发器74LS74的两个输出端,74LS74可产生四种循环的状态,从而实现彩灯的自动转换。时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS161和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D 触发器。当彩灯完成一种花样时,双D 触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化。 经实验验证,所设计的四花样彩器能完成四花样变换,每一种花样循环两次,四种花样不断循环。 关键字:时钟脉冲 分频 自动转换 控制器 数据选择器

EDA课程设计八路彩灯控制器样本

EDA课程设计 设计题目: 基于VHDL的8路彩灯控制器设计 一、课程设计的目的 1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。 2.增强自己实际动手能力,独立解决问题的能力。 3.经过课程设计对所学的知识进行更新及巩固. 二、课程设计的基本要求 本次课程设计是设计一个8路彩灯控制器, 能够控制8路彩灯按照两种节拍, 三种花型循环变化。设计完成后, 经过仿真验证与设计要求进行对比, 检验设计是否正确。 三、课程设计的内容 编写硬件描述语言VHDL程序, 设计一个两种节拍、三种花型循环变化的8路彩灯控制器, 两种节拍分别为0.25s和0.5s。三种花型分别是: ( 1) 8路彩灯分成两半, 从左至右顺次渐渐点亮, 全亮后则全灭。 (2)从中间到两边对称地渐渐点亮, 全亮后仍由中间向两边逐次熄灭。 (3)8路彩灯从左至右按次序依次点亮, 全亮后逆次序依次熄灭。 四、实验环境

PC机一台; 软件QuartusⅡ6.0 五、课程设计具体步骤及仿真结果 1、系统总体设计框架结构 分频模块: 把时钟脉冲二分频, 得到另一个时钟脉冲, 让这两种时钟脉冲来交替控制花型的速度。 二选一模块: 选择两种频率中的一个控制彩灯的花型。 8路彩灯的三种花型控制模块: 整个系统的枢纽, 显示彩灯亮的情况。 2、系统硬件单元电路设计 1.分频模块设计 实验程序: library ieee; use ieee.std_logic_1164.all; entity fenpin2 is port( clk:in std_logic; clkk:out std_logic); end fenpin2; architecture behav of fenpin2 is begin

流水灯课程设计(免费)..

数字电子技术课程设计报告 (彩灯控制器) 专 专业:电子信息工程 班级:7B1211 学号:123025 姓名:白旭飞 年月:2014-6-28

一、设计要求 1. 以8或10个指示灯作为显示器件,能自动的从左到右、从右到左自动的依次被点亮,如此周而复始,不断循环。 2.打开电源时控制器可自动清零,每个指示灯被点亮的时间相同约为0.5S~2S 范围内。 3.用计算机画出设计电路图,进行仿真分析验证其正确性。 4.写设计说明书一份(画总原理框图以及说明主要工作原理,单元电路的设计和元器件的选择,画出完整的电路图和元器件明细表,收获、体会及建议) 二、设计的作用,目的 1.作用 利用控制电路可使彩灯(例如霓虹灯)按一定的规律不断的改变状态,不仅可获得良好的观赏效果,且可以省电(与彩灯全亮相比)。 2.目的 用NE555芯片,74LS151芯片,74LS163芯片,74LS194,以及一些逻辑门芯片完成彩灯控制器。 三、设计的具体实现 1.系统概述 接通电源时,555占空比可调振荡器产生1s单位的脉冲,脉冲送到下一个模块74LS151计数器,目的实现模5计数器,达到每五秒生成一个脉冲输向下一个芯片74LS194移位寄存器以及计数器74LS163。进而彩灯在脉冲的作用下依次点亮,并实现循环,完成实验要求。 2.总体思路 先用555定时器用来生成1s标准单位cp脉冲,把脉冲给计数器74LS151,通过74LS151形成模5加法计数器,再将74LS151输出信号供给74LS194移位寄 存器输入端,Q 0,Q 1, Q 2 和Q 3 接彩灯然后连接几个逻辑门,把74LS194接成环形 计数器。就能实现基本电路要求。 3.方案设计 总体电路共分三大块。第一块实现时钟信号的产生;第二块实现灯亮灭情况的演示;第三块实现灯亮灭的控制及节拍控制。

循环彩灯控制器课程设计8路

数字电路课程设计报告课程名称:循环彩灯控制器 设计题目:循环彩灯控制器 院(部):机械与电子工程学院 专业: 学生姓名: 学号: 班级: 日期: 指导教师:

课程设计任务书 课程设计题目循环彩灯控制器 姓名学号班级 院部机械与电子工 程学院 专业 组别组长组员 指导教师 课程设计目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 课程 设计 环境 用proteus 仿真软件画出总体电路图、word编写课程设计报告

课程设计任务和要求设计要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 3.选做:实现快慢两种节拍的变换。 设计任务: 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 课程设计工作进度计划 序号起止日期工作内容 1 2012年6月7日~2012年 6月11日选择设计题目并阅读相关文献、资料,学习使用proteus 2 2012年6月12日~2012 年6月13日 根据设计任务和要求,做出大纲和目录 3 2012年6月13日~2012 年6月16日 根据目录做出设计内容 4 2012年6月17日~2012 年6月18日 根据设计内容用proteus画图 5 2012年6月19日整理课程设计的格式与内容 6 2012年6月20日打印并装订 教研室审核意见: 教研室主任签字:年月日 教学院(系)审核意见: 主任签字:年月日

LED循环彩灯课程设计

××学院 《模拟电子技术》课程设计 题目 LED循环彩灯 学生姓名××× 专业班级电科(3)班 学号 201231000 院(系)电气工程学院 指导教师××

完成时间 2013年12月17日

目录 1、课程设计的目的 (1) 2、课程设计的任务与要求 (2) 2.1实验器材 (2) 2.2电子器件的识别 (2) 2.3焊接技术 (2) 2.4元器件安装及要求: (3) 3、设计方案与论证 (3) 3.1功能分析与具体要求 (3) 3.2设计思路 (4) 4、设计原理及功能说明 (4) 5、单元电路的设计(计算与说明) (6) 6、硬件的制作与调试 (7) 7、总结 (10) 参考文献: (12)

附录1:总体电路原理图.......................错误!未定义书签。附录2:元器件清单.. (14)

1、课程设计的目的 当今世界,彩灯已经成为我们生活的一部分,能给我们带来视觉上的享受还能美化我们的环境。街角巷里,高楼大厦无处不是因它炫彩夺目以及控制简单等特点而得到了广泛的应用,用LED彩灯来装饰街道和城市建筑已经成为一种潮流。发光二极管简称为LED。它是一种能发光的半导体电子元件。这种电子元件早在1962年出现,早期只能发出低光度的红光,之后发展出其他单色光的版本,时至今日能发出的光已遍及可见光、红外线及紫外线,光度也提高到相当的光度。具有效率高、寿命长、不易破损、开关速度高、高可靠性等传统光源不及的优点。白光LED的发光效率,在近几年来已经有明显的提升。因此人们现在更侧重于用LED来照明以及装饰屋内及屋外环境,LED广泛应用与生活的各个方面,不断走进人们的生活也不断地改变着人们的生活。 本实验主要是结合我们以往所学课程的基础理论、基本技能和专业知识的能力,不仅要考虑总体电路的设计还要考虑系统各部分电路的选择、设计及它们之间的相互连接。主要目的是在实践中逐步培养我们建立正确的设计思想,掌握工程设计的一般程序、规范和方法。 (1)了解各种元器件的性能、作用和工作原理; (2)掌握一定的焊接技术以及简单元器件装配; (3)学习印刷电路板;

数电课程设计-彩灯

课程设计报告 ( 2009 -- 2010 年度第二学期) 名称:数字电子技术课程设计 题目:彩灯控制系统 学号: 学生姓名: 成绩: 日期:2010 年5 月21 日

目录 一、电子技术课程设计的目的与要求 (3) 二、课程设计名称及设计要求 (3) 三、系统框图及简要说明 (3) 四、方案选择与论证 (4) 五、单元电路设计(原理、芯片、参数计算等) (4) 六、总体电路 (6) 七、实验过程 (6) 八、心得体会 (7) 附录I:总原理图 (7) 附录II:multisim仿真图 (8) 附录III:元器件清单 (9) 附录IV:参考文献 (9)

一、电子技术课程设计的目的与要求 设计目的: 课程设计作为数字电子技术课程的重要组成部分,目的是使学生进一步理解课程内容,基本掌握数字系统设计和调试的方法,增加集成电路应用知识,培养学生实际动手能力以及分析、解决问题的能力。 按照本专业培养方案要求,在学完专业基础课数字电子技术课程后,应进行课程设计,其目的是使学生更好地巩固和加深对基础知识的理解,学会设计小型数字系统的方法,独立完成系统设计及调试,增强学生理论联系实际的能力,提高学生电路分析和设计能力。通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。 设计要求: 1.教学基本要求 要求学生独立完成选题设计,掌握数字系统设计方法;完成系统的组装及调试工作;在课程设计中要注重培养工程质量意识,按要求写出课程设计报告。 2.能力培养要求 (1)通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。 (2)通过实际电路方案的分析比较、设计计算、元件选取、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。 (3)掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。 (4)综合应用课程中学到的理论知识去独立完成一个设计任务。 (5)培养严肃认真的工作作风和严谨的科学态度。 二、课程设计名称及设计要求 彩灯控制电路: 1.设计任务 本课题要求设计一个具有一定变幻功能的四路彩灯显示系统。 2.技术指标及要求: (1)四路彩灯从左向右逐次渐亮,间隔为1秒。 (2)四路彩灯从右向左逐次渐灭,间隔为1秒。 (3)四路彩灯同时点亮,时间为0.5秒,然后同时变暗,时间为0.5秒, 反复4次。 3. (选做)多种图形方案选择显示。 三、系统框图及简要说明 图一简化设计框图

八路彩灯课程设计

课程设计任务书 专业姓名学号 开题日期:2014年 3 月12 日完成日期:2012 年12 月26 日 题目八路彩灯 一、设计的目的 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能; (3)进行电路的装接、调试,直到电路能达到规定的设计要求; (4)写出完整、详细的课程设计报告。 二、设计的内容及要求 设计任务 设计一个八路彩灯逻辑控制电路。 设计要求及器件 (1)共有八个彩灯,分别实现三个过程,构成一个循环共25秒。 (2)第一个过程要求八个灯从左到右依次点亮,各一秒,共八秒。 (3)第二个过程要求八个灯从右到左依次熄灭,各一秒,共八秒。 (4)最后八个灯同时闪烁八次,共8秒。 三、指导教师评语 四、成绩 指导教师(签章) 年月日

目录 第1章概述 --------------------------------------------------------------------------------------------------------------------------- 1 1.1.设计任务及要求 ---------------------------------------------------------------------------------------------------------- 2 1.1.1设计任务 ----------------------------------------------------------------------------------------------------------- 2 1.1.2设计要求及器件-------------------------------------------------------------------------------------------------- 2 1.1.3设计任务及目标:----------------------------------------------------------------------------------------------- 2 第2章.总体设计思路模块--------------------------------------------------------------------------------------------------------- 2 2.1系统逻辑框图 -------------------------------------------------------------------------------------------------------------- 2 2.2设计的方法 ----------------------------------------------------------------------------------------------------------------- 3 第3章.各单元电路图及功能说明模块---------------------------------------------------------------------------------------- 4 3.1器件选择 -------------------------------------------------------------------------------------------------------------------- 4 3.1.1:计数器S163芯片的介绍 ------------------------------------------------------------------------------------ 4 3.1.2:计数器LS190芯片的介绍----------------------------------------------------------------------------------- 5 3.1.3双向寄存器74LS194 -------------------------------------------------------------------------------------------- 6 3.2各功能电路实现原理及电路分析模块------------------------------------------------------------------------------- 7 3.2.1 彩灯演示电路 ---------------------------------------------------------------------------------------------------- 7 3.2.2彩灯控制电路----------------------------------------------------------------------------------------------------- 7 第4章.电路总体图设计图--------------------------------------------------------------------------------------------------------- 8 第5章.心得体会: ------------------------------------------------------------------------------------------------------------------ 9 第6章.参考文献: ------------------------------------------------------------------------------------------------------------------ 9

EDA课程设计之八路彩灯控制系统

~ 1 ~ 目 录 1前言................................................................................................................... 2 2 总体方案设计 (3) 2.1方案比较.......................................................................................................... 3 2.2方案论述.......................................................................................................... 4 2.3方案选择.......................................................................................................... 4 3单元模块设计 . (5) 3.1时钟信号模块.................................................................................................. 5 3.2节拍快慢控制模块.......................................................................................... 6 3.3彩灯控花型控制模块...................................................................................... 7 4软件设计 .. (9) 4.1 Quartus Ⅱ简介............................................................................................. 9 4.2 Qartus Ⅱ设计开发流程............................................................................. 10 5系统调试 .......................................................................................................... 11 6系统功能及指标参数 ....................................................................................... 12 7设计总结与体会 ............................................................................................... 13 8参考文献 .......................................................................................................... 14 附录1总设计图及仿真图 ................................................................................... 15 附录2 Verilog HDL 源程序 . (16)

相关文档
相关文档 最新文档