文档库 最新最全的文档下载
当前位置:文档库 › 数字逻辑实验指导书

数字逻辑实验指导书

数字逻辑实验指导书
数字逻辑实验指导书

数字逻辑实验指导书

计算机科学与技术学院

应用教研室

目录

实验的基本步骤 (3)

实验的注意事项 (3)

实验报告书写要求......................................................................................... 错误!未定义书签。实验用到的资源和原理. (5)

实验1:基本逻辑门电路功能测试 (7)

实验2:译码器及其应用 (15)

实验3:触发器 (19)

实验4:计数器 (24)

实验5 加法器 (29)

实验6 移位寄存器及其应用 (33)

实验的基本步骤

本实验指导书的所有实验基于EDA实验台进行。采用软件为Quartus II 5.0,硬件芯片为ALTERA 的Cyclone 系列FPGA芯片EP1C6Q240C8。

使用本EDA实验台进行数字逻辑实验,不需要进行手工接线。实验工作分2步进行:1:在PC机上,基于Quartus II软件进行原理图(逻辑图)的设计,设计完成后,需要经过引脚锁定、编译下载到EDA实验台上的FPGA芯片EP1C6Q240C8中。下载完成后,即在FPGA芯片中形成物理的逻辑电路。此步工作相当于传统实验的基于物理器件的接线操作。

2:基于第一步形成的逻辑电路(在FPGA中),进行测试验证。此过程可以用万用表、逻辑笔、示波器等测试FPGA的相应引脚,实现对逻辑电平、波形的测试,从而验证实验的正确性。

实验的注意事项

1:Quartus II的工程名和顶层实体名字必须为英文,存储路径最好不要含中文和空格。

2:Quartus II的设计中所有的命名中,名字不要有空格。

3:Quartus II的设计中放置“input”“ouput”引脚符号时,引脚符号的虚线框和原件的虚线框要刚好对上,以保证连接上,虚线框分开和部分重叠都不能正确连接。

4:所用到的脉冲/时钟信号必须锁定到29脚,具体原理参考“实验用到的资源和原理”部分。

实验报告格式和内容

书写实验报告,语言要简练,书写端正、作图正规。按照如下格式和内容书写。

注意:试验6为综合性实验,其格式和实验1到5不同,同时其需要有封面并装订成册。

一般实验(实验1――5)

项目名称

一、实验目的及要求

二、实验仪器设备

三、实验内容、结果

四、实验总结

包括实验中遇到的问题,如何解决遇到的问题;实验后的认识和感悟等。

综合性实验(实验6)

项目名称

一、实验内容

二、实验目的及要求

三、实验仪器设备

四、实验结果

五、实验总结

实验用到的资源和原理

需要的资源:

1:逻辑开关

2:发光二极管指示灯

3:单脉冲/1M-8M方波时钟

数字逻辑实验需要用到的输入为逻辑0、1,由逻辑开关提供,实验台提供了16个逻辑开关,为SD0、SD1……SD15,和FPGA的连接关系如下表1-1。输出的逻辑0、1接到发光二极管,实验台提供了很多发光二极管,具体只列了部分于表1-1中。

表1-1

从表中可以看出,SD0连接于200脚,SD1连接于200脚,41脚到83脚分别接有一个发光二极管指示灯。具体原理如下图0所示(只列处了两个逻辑开关和两个发光二极管,其余同理)。通过拨动逻辑开关实现逻辑0、1的输入,输出的逻辑0、1通过发光二极管指示,1亮0灭。

时序电路的实验要用到脉冲和1M-8M方波,由29脚的提供,原理如图0所示。当短路子DZ3短接时,DZ4断开时,29脚和单脉冲(按一下出一个高电平的单脉冲)连接,当DZ4短接时,DZ3断开时,29脚接方波,此时频率由DZ5、DZ65、DZ75、DZ85的短接情况决定。DZ5短接时为8M, DZ6短接时为4M,DZ7短接时为2M,DZ8短接时为1M。

图0

实验1:基本逻辑门电路功能测试

一、实验目的

1:测试与非门及与非门组成的其它逻辑门电路的功能。

二、实验用的仪器、仪表

TEC-5数字逻辑实验系统

三、实验原理

与非门的逻辑功能是:当输入端中有一个或一个以上低电平时,输出端为高电平。只有当输入端全为高电平时,输出端才为低电平(即有“0”得“1”,全“1”出“0”)。

四、实验内容

1:测试二输入与非门的逻辑功能

与非门的输入端接逻辑开关电平,输出端接发光二极管。按表1-2所示测试与非门,并将测试结果填入表中。

A B

B A F ?=

表1-1

2:学习用二输入与非门构成其他逻辑电路的方法,并测试。 ● 与门逻辑功能实现:

根据布尔代数的理论,B A B A F ?=?=,所以用2个与非门即可实现与门逻辑功能。输入A 、B 接逻辑开关,输出端接发光二极管。参考表1-2,设计表格,并将测试结果填入表中。

A B

● 或门逻辑功能实现:

根据布尔代数的理论,B A B A F +=?=,所以用3个与非门即可实现或门逻辑功能。输

入A 、B 接逻辑开关,输出端接发光二极管。参考表1-1,设计表格,并将测试结果填入表中。

A

B

异或门逻辑功能实现:

根据布尔代数的理论,B A B A F +=,根据此异或逻辑表达式经过变换,逻辑图如下,请自行验证此逻辑图的正确性,同时思考如果直接据逻辑表达式画逻辑图,效果如何,近而体会变换的作用。输入A 、B 接逻辑开关,输出端接发光二极管。参考表1-1,设计表格,并将测试结果填入表中。

五、实验步骤

(1)PC 机端的工作 1:启动QUARTUS II 5.0

2: 创建新的Quartus II 工程(在创建新工程前,需要创建一个工作目录) 打开File —New Project Wizard 菜单,创建工程。

首先出现图1,参考如下图示进行。指定工作目录和工程名。接着点击“Next ”按钮,一直到图2二所示,选择参考图示,指定芯片为EP1C6Q240C8。接着,点击“Finish ”

1

图2

3: 建立顶层模块设计文件(.bdf ),选择File ->New,选择Block Diagram/Schematic File ,按OK 。注意:此文件必须与上一步骤的顶层文件名称相同,Save 时自动出现顶层文件名称,保持不变。在BDF 文件设计窗口内的空白处双击, 出现Symbol 对话框,如下图3所示,展开“logic ”,选nand2即二输入与非门,如图4所示,点击 “OK ”即可实现二输入与非门的放置。按实验内容要求画出所用的逻辑图(画逻辑图过程中,利用”COPY ”即可实现更多

nand2门的放置)。其中连线用鼠标左键即可实现。三态门在“buffer”中,名字为”tri”。选中即可实现三态门的放置(注意:原件的放置可以直接输入原件名,如图3、4所示)。最终如图5所示。

在此直接输入原件名

字即可实现原件选择

图3

在此直接输入原件名

字即可实现原件选择

图4

图5

在图5中完成了所有需要测试的逻辑图的连接,还需要放置端口(即把此逻辑电路引到对应的FPGA 的引脚)。放置过程同上,选“pin ”中的“input ”和“output ”即为对应的输入、输出端口,在所用的逻辑图中输入端放输入端口,输出端放输出端口。结果如图6所示。端口放完后需要改名字,以便后边的实验过程的易于识别。名字都调整好后,保存。

图6

4:进行编译

选择菜单”Processing-Start Compilation”,实现编译。在编译完成后,进行引脚锁定。

5:引脚锁定

引脚锁定的目的是把逻辑图中的逻辑输入、输出引到对应的FPGA的物理实际引脚上。选择菜单“Assignments-Pins”,出现如图7所示窗口。“To”列为对应的端口,“Location”列为锁定的实际位置,用鼠标单击选定对应的位置,如图7所示,直接输入相应的物理引脚号,如7,就会出现“PIN_200”,回车,即可实现对一个引脚的锁定。在锁定完所有引脚后,保存然后重新编译即可。编译完成后,在当前工作窗口点击鼠标右键,在弹出的菜单中选择“Show---Show Pin and Location Assignments”显示图8,可以看到对应的引脚锁定关系。因本实验所有输入端均接逻辑开关,输出端均接LED指示灯。如下图,把与门的两个输入andInput1、andInput2分别锁定到200和201脚,而200和201和逻辑开关相连。与门的输出andOut锁定到41脚,而41和LED发光二极管连接,从而形成图9原理图连接关系,从而只需要拨动SD0,SD1即可实现输入端的0、1输入,输出端对应的41脚的指示灯会“1”亮“0”灭。其余的同理。这里的锁定关系仅为参考,可以把输入锁定到16个开关中的任意一个,输出同样选择16个LED中的任一个均可。

图7

图8

图9

6:编译下载

再次编译本系统,完成后选“Tools-Programmer”,出现图10窗口,在“Hardware Setup…”后如果为“No Hardware”,需要点击“Hardware Setup…”进行编程线缆的设置,选“ByteBlaster[LPT1]”即可。当线缆配置好后,”Start”按钮有效,在”Program/Confgure”处选中,按”Start”按钮即实现配置下载。下载完成后,即可进行测试。

图10

(2)实验箱端的工作

本部分工作主要完成对逻辑电路功能的测试,采用对输入逻辑开关的切换,实现不同的“0”“1”输入,从而输出端输出不同的逻辑电平,使指示灯亮或灭。完成实验内容中各个表的填入,完成实验工作。如用二输入与非门实现的与门的两个输入andInput1、andInput2分别锁定到200和201脚,而200和201和逻辑开关SD0,SD1相连。与门的输出andOut锁定到41脚,而41和LED发光二极管连接,从而形成图9原理图连接关系,从而只需要拨动SD0,SD1即可实现输入端的0、1的四种组合输入,输出端对应的41脚的指示灯会“1”亮“0”灭,把结果填入对应的表中即可。

实验的电平测试采用万用表,把万用表打到直流电压20V档,黑表笔接试验台右上脚的地(GND),红表笔测输出端的LED指示灯的一个管脚。

注意:红表笔测量时不要同时碰到两个及以上管脚,以免短路。

六、实验报告

记录、整理实验结果,并用布尔代数的理论进行分析

实验2:译码器及其应用

一、实验目的

1:掌握译码器的逻辑功能及应用。

2:学习并掌握双踪示波器的使用。

二、实验用的仪器、仪表

EDA实验台万用表示波器PC机

三、实验原理

译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态。译码器在数字系统中有广泛的用途,如代码变换、数据分配、存储器寻址、组合控制信号等。

本实验以74138为主要实验对象,图2-1为74138的逻辑图和管脚排列图。其中A,B,C 为地址输入端(其中C为高权位),Y0N~Y8N为译码输出端,G1,G2AN,G2BN为使能端(这里的N均为低有效的意思)。表2-1为74138的功能表,当G1=1,G2AN+G2BN=0时,器件使能,地址码所指定的输出端有信号(为0)输出,其余输出端均为无信号(全为1)输出。当G1=0和G2AN+G2BN=0两个条件不能同时满足时,译码器被禁止。所用的输出同时为1。

图2-1 74138的逻辑图和管脚排列图

四、实验内容

1:74138逻辑功能的测试

将G1,G2AN,G2BN和地址输入端A、B、C和逻辑开关相接。八个输出端接到LED指示灯。拨动逻辑开关,按表2-2测试功能,并记录测试结果。

2:用74138构成数据分配器

若利用使能端中的一个输入端输入数据信息,器件就构成数据分配器。在G2AN端输入数据(可以用逻辑开关),且G2BN=0,G1 =1,地址输入端接逻辑开关,先拨动地址输入端开关,再拨动数据输入端逻辑开关,看实验结果。用语言描述多路分配的结果,并说明是原码输出还是反码输出。

3:用74138实现逻辑函数

A

B

Z+

+

=,实现该逻辑函数电路如图2-3,将测试结果记录在表2-3 C

+

C

B

ABC

A

A

C

B

中(注意74138原件符号中C为高位,表2-3中A为高位)。

图2-3

五、实验步骤

(1)PC机端的工作

具体步骤参考实验1部分,所不同的是:

●此实验的逻辑图中用的原件为74138,7420、VCC(电源)、GND(地),完成的原理图

如图2-4所示,具体端口名字可以自行命名。

●据“实验用到的资源和原理”一节图0原理可以知道,1MHZ信号必须锁定到29脚。

其余所有的输入锁定到逻辑开关,输出锁定到LED指示灯。

图2-4

(2)实验箱端的工作

“74138逻辑功能的测”和“用74138实现逻辑函数”部分工作主要完成对逻辑电路功能的测试,采用对输入逻辑开关的切换,实现不同的“0”“1”输入,从而输出端输出不同的逻辑电平,使指示灯亮或灭。完成实验内容中各个表的填入,完成实验工作。

“用74138构成时序脉冲分配器”部分要借助示波器看波形。通过拨动地址输入端逻辑开关,实现脉冲的分配,用示波器测试G2AN端信号和在地址输入端不同组合情况下输出Y0N~Y7N的的信号。

六、实验报告

1:将测试结果填入相应的表格,将观察到的波形画出来。

2:对实验结果进行分析讨论。

实验3:触发器

一、实验目的

1.掌握基本RS 触发器、JK 触发器、D 触发器的逻辑功能及测试方法。 2.熟悉触发器之间的相互转换。

二、实验用的仪器、仪表

EDA 实验台 万用表 示波器 PC 机

三、实验原理

触发器具有两个稳定状态,用以表示逻辑状态0和1。在一定的外加信号作用下,可以从一种稳定状态翻转为另一稳定状态。它是一个具有记忆功能的二进制信息存储器件。是

构成各种时序电路的最基本的逻辑单元。

1.基本RS 触发器

由两个与非门可以组成一个基本RS 触发器。接线方法如图3-1所示。它是无时钟控制低电平直接触发的触发器。基本RS 触发器具有置0,置1和保持的三种功能。通常称S 为置1端,因为S =0时,触发器被置1,R 为置0端,因为R =0时,触发器被置0,当S =R =1时,状态保持。

图3-1 基本RS 触发器

2.JK 触发器

JK 触发器的状态方程为n n n Q K Q J Q +=+1。JK 触发器采用下降沿触发,其功能如表3-1所示。 表3-1

3.D 触发器

D 触发器的状态方程为D Q n =+1,其输出状态的更新发生在CP 脉冲的上升沿。触发器的状态只取决于时钟到来前D 端的状态。D 触发器的功能表如表3-2所示。

表3-2

4.触发器之间的相互转换

在集成触发器产品中,每一种触发器都有自己固定的逻辑功能。但各种功能的触发器之间可以进行相互转换。如将JK 触发器的J ﹑K 两端连在一起,并认定它为T 端,就构成T 型触发器,其状态方程为n n n Q T Q T Q +=+1。T 触发器的功能表如表3-3所示。由表可知,当T=0时,时钟脉冲作用后,其状态保持不变;当T =1时,时钟脉冲作用后,触发器状态翻转。所以,将T 触发器的T 端置1,即得T ’触发器。T ’触发器每来一次脉冲,触发器的状态就翻转一次,故称之为翻转触发器。若将D 触发器的Q 端与D 端相连,便转换为T ’触发器。

表3-3

四、实验内容

1、测试基本RS 触发器的逻辑功能。按图3-1所示接线,用两个与非门组成基本RS 触发器,输入端为R 、S 。输出端为Q 、Q 。所用与非门型号为7400,如下图所示。按表3-4要求进行测试,并记录之。

相关文档