文档库 最新最全的文档下载
当前位置:文档库 › VCS-DVE

VCS-DVE

VCS-DVE
VCS-DVE

下面开始进行VCS仿真。

VCS要使用图形化界面dve,必须得先编译得到vpd文件,才能dve看波形。

首先是编译verilog文件。

主要命令如下

vcs verilog文件 [-y 搜索路径 +libext+.v -debug_all –ncli]

其中 []中的选项是可选的。

-y 搜索路径是指定编译的verilog代码在什么路径下

+libext+.v 是指该路径下所有的后缀为.v的文件

-debug_all 是调试用的,如果要进行调试,就要加这个命令。

-ncli 是命令行ncli调试。

以上是主要的可选项,其余的自行百度。

我的文件目录如上所示,测试代码在当前目录下,其余的调用的模块代码在rtl目录下。所以,要编译。用如下命令:

vcs ceshi_uart_test.v -y ./rtl +libext.+v

就进行编译了。-y的作用就是指定搜索路径,因为有可能我们的verilog代码不都是在当前目录下,而是在一个文件夹里面。这样就可以用-y指定该文件夹,这样vcs编译的时候,就会去该目录下去寻找verilog文件。而+libext+.v是指在该搜索路径下,将顶层模块中用到的例化模块都给包括进来。

如果所有的verilog文件都在当前目录下,那么可直接执行

vcs a.v b.v c.v ……(注意,testbench要放在最前面,因为testbench中有`timescale)

如果只是 vcs ceshi_uart_test.v –y ./rtl 就会有如下错误

提示测试文件中的例化模块找不到。

编译完成后,会在当前目录下,生成一个simv文件。这个文件是一个可执行文件,执行这个文件,就可以看到我们的仿真结果。以文本显示。

命令是 ./simv

因为在testbench中没有写显示内容,所以仿真结果是看不到显示结果的。

可以用dve查看波形。

命令 ./simv –gui

可以看到会有错误,这是因为没有生成vpd文件。VCS的dve是执行vpd文件的。直接vcs verilog文件是不会产生vpd文件的。要加一个-debug_all或者-debug或者-debug_pp 命令。不过推荐用-debug_all,因为这个可以加断点。

即 vcs ceshi_uart_test.v -y ./rtl +libext.+v -debug_all

然后在 ./simv –gui

就打开了dve。同时在当前目录下,产生了inter.vpd文件。

接下来的操作和一般的verilog仿真软件是一样的。选中信号加入到波形中,然后开始仿真。就可以看到波形了。

开始仿真。就可以看到波形了。

接下来是命令行调试。

命令行调试不用看波形,用命令,执行仿真,观看信号。命令行仿真是在ucli中进行的。所以在编译命令中,要加入-ucli。

使用命令行调试,可在testbench中加入$stop语句。这样仿真可以在该处停下来,这样可以查看各个信号的值。

如我再testbench中加入两个$stop语

句。

执行命令 vcs ceshi_uart_test.v -y ./rtl +libext.+v -debug_all –ucli –R

-R 表示编译后立即执行。

不加这个选项那执行完上个命令后,在执行命令./simv –ucli 才启动ucli调试。

显示ucli就表示进入ucli调试了。

ucli中有一些常用命令,

scope 显示当前的顶层模块

scope u1 就表示进入到当前顶层模块的u1模块,同时将u1模块设置为顶

层模块

scope –up 回到目前顶层模块的上一层。

scope可以用来选择不同的模块,以此来显示不同的信号。因为show是显

示当前顶层的信号以及子模块

show 显示当前顶层模块的信号以及子模块

show 信号–value -radix hex/bin/dec 显示信号的值以特定的进制显示。

run 运行仿真

run 一直运行,直到遇到$stop或者设置的断点

run time 运行多少时间停止(不推荐)

run -posedge 信号运行到信号的上升沿停止

run -negedge 信号运行到信号的下降沿停止

run -change 信号信号有变化时停止

stop 设置断点

stop 显示断点

stop –posedge 信号在信号的上升沿设置断点

stop -negedge 信号在信号的下降沿设置断点

stop -condition {信号表达式} 信号表达式为真的地方设置断点

stop -delete 断点值删除断点值的断点

restart 重新开启ucli调试模式

-h 帮助命令

命令后面接–h 显示当前命令的帮助

如 scope –h 显示

接下来就开始调试

首先scope命令,显示当前顶层为ceshi_uart_test,即我们的testbench 模块。

第二个命令 show 显示当前模块下有哪些信号和子模块。可看出,有7个信号,和一个子模块uut。即我们例化的uart_top模块。

第三个命令 show –value 显示信号的值,以16进制显示,因为还没有开始运行,所以信号的值都是x。不定值。

第四个命令 scope uut 进入到uut子模块。当前的顶层模块为uut

第五个命令 show 显示当前顶层模块uut的信号以及子模块,这里有11个信号,3个子模块。

执行scope –up 回到顶层模块。

第六个命令 run命令在56行有$stop,所以在该处,仿真暂停。

第七个命令 show命令显示信号的值因为有些值还没有初始化,所以显示为x。

第八个命令 show命令显示子模块uut中的信号。调用模块中的信号是用 . 调用的。

第九个命令设置断点1 在clk的上升沿设置断点1

第十个命令设置断点2 在clk的下降沿设置断点2

第十一个命令设置断点3 在rst为1 设置断点3

第十二个命令显示断点设置了三个断点,这里就显示3个断点

第十三个命令删除断点2 删除在clk下降沿设置的断点2

第十四个命令显示断点删除掉了断点2 这里就显示断点1和3

断点设置好后,接下来就run,show 看信号就可以了。

使用restart可以重新开始调试。即仿真时刻回到0时刻。

每次show –value 比较麻烦,可以使用 alias var show –value 用var 代替show –value。

如果show –value 信号就可以 var 信号

相关文档