文档库 最新最全的文档下载
当前位置:文档库 › 河北科技大学数电实验报告

河北科技大学数电实验报告

河北科技大学数电实验报告
河北科技大学数电实验报告

河 北 科 技 大 学

实 验 报 告

级 专业 班 学号 年 月 日 姓 名 同组人 指导教师 岳永哲 实验名称 实验二 基本门电路逻辑功能的测试 成 绩 实验类型 验证型 批阅教师

一、实验目的

(1)掌握常用门电路的逻辑功能,熟悉其外形及引脚排列图。 (2)熟悉三态门的逻辑功能及用途。

(3)掌握TTL 、CMOS 电路逻辑功能的测试方法。 二、实验仪器与元器件 (1)直流稳压电源 1台 (2)集成电路

74LS00 四2输入与非门 1片 74LS86 四2输入异或门 1片 74S64 4-2-3-2输入与或非门 1片 74LS125 四总线缓冲门(TS ) 1片 CD4011 四2输入与非门 1片 三、实验内容及步骤

1.常用集成门电路逻辑功能的测试

在数字实验板上找到双列直插式集成芯片74LS00和74LS86。按图进行连线。测试各电路的逻辑功能,并将输出结果记入表中。

门电路测试结果

2.测试与或非门74S64的逻辑功能

在实验板上找到芯片74S64,实现Y AB CD =+的逻辑功能。

3.用与非门组成其他逻辑门电路 (1)用与非门组成与门电路

按图接线,按表测试电路的逻辑功能。根据测得的真值表,写出输出Y的逻辑表达式。

Y Y &

真值表

逻辑表达式:Y=AB

(2)用与非门组成异或门电路

按图接线,将测量结果记入表中,并写出输出Y 的逻辑表达式。

真值表

逻辑表达式:B A Y ⊕=

真值表

4.三态门测试

(1)三态门逻辑功能测试

三态门选用 74LS125将测试结果记入表中。 (2)按图接线。将测试结果记录表中。 真

值表

河北科技大学

实验报告

级专业班学号年月日姓名同组人指导教师

实验名称实验三示波器的使用及门电路测试成绩

实验类型综合型批阅教师

一、实验目的

(1)熟悉双踪示波器的面板结构,学习其使用方法。

(2)进一步学习数字实验板的使用方法。

(3)进一步掌握TTL与非门的特性和测试方法。

二、实验仪器与元器件

(1)直流稳压电源1台

(2)信号发生器1台

(3)6502型示波器1台

(4)集成电路74LS00 四2输入与非门1片

三、实验内容及步骤

1.信号发生器的使用

信号发生器选择不同的按键,可以产生TTL/CMOS标准电平的数字信号,信号从“数字输出”端引出。

通过改变信号发生器的输出频率,观察发光二极管的变化情况。当信号的输出频率较高时,需要用示波器来观察。

2.示波器的使用

(1)示波器的自检

在示波器上读测“校准信号”(方波0.5V、1kHz)电压的峰-峰值、周期和频率,将结果记入表中,并与给定的标准信号值进行比较。

校准信号数据记录2

校准信号数据记录1

(2)TTL数字信号高、低电平值、幅值及频率的测量

先将信号发生器输出的TTL信号频率调为10kHz,再用示波器对其进行测试。

1)高、低电平及幅度值的测量,读出高电平、低电平的电压值,将结果记入表中。

2)频率的测量,使波形在示波器显示两个完整周期,读出波形一个周期所占的格数d,计算周期值T和f。将结果记入表中。

电压峰-峰值、周期和频率测量数据

3.观测与非门对脉冲的控制作用

实验电路如图所示,选择74LS00中的一个门按图接线。

当控制端分别为高电平“1”或低电平“0”时,用示波器双踪观测输入信号与输出信号的波形,并将观察到的波形记录下来。

控制端为高电平“1”

CH1波形

CH2波形

控制端为低电平“0” CH1波形

CH2波形

根据测试结果分析,当控制端为高电平时允许脉冲信号通过,低电平时不允许信号通过。

CH2 1

河 北 科 技 大 学

实 验 报 告

级 专业 班 学号 年 月 日 姓 名 同组人 指导教师 实验名称 实验五 组合逻辑电路设计 成 绩 实验类型 设计型 批阅教师

一、实验目的

(1)熟悉组合逻辑电路的设计方法,验证电路的逻辑功能。 (2)熟悉集成电路74LS253和74LS138的使用方法。 (3)培养查阅手册及独立完成设计任务的能力。

二、实验仪器与元器件

(1)直流稳压电源 1台 (2)集成电路

74LS253 双数据选择器(TS ) 1片 74LS20 双4输入与非门 1片 74LS00 四2输入与非门 1片 74LS138 3-8线译码器

1片

三、实验任务及要求

1.设计一个控制发电机运行的逻辑电路

有两个发电机组M和N给三个车间供电,N组的发电能力是M组的两倍。如果一个车间开工,只需启动M 组既能满足要求;如果两个车间开工,则需启动N 组就可满足要求;如果三个车间同时开工,则需要同时启动M组和N组,才能满足要求。

(1)设A 、B 、C 为输入变量,分别代表三个车间的开工情况,变量为“1”表示开工,变量为“0”表示不开工。设M 、N 为输出变量,分别代表发电机组的启动情况,“1”代表启动,“0”代表不启动。

(2)真值表 真值表 (3)逻辑表达式

101011121320212223()0()()1()()()()A A,A B

D 0D C D =C D =1

D C D D D =C

N ABC ABC ABC ABC A B AB C AB C AB M A BC ABC AB C ABC A B C AB C AB C AB C =+++=?+++?=+++=+++==令:得:=,=,,=,=

(4)画出逻辑电路图,测试电路的逻辑功能。

2.设计一个全减器电路

全减器电路中,设A i 为被减数,B i 为减数,C i-1为来自低位的借位。输出为两数之差D i 和向高位的借位C i 。用74LS138和与非门74LS20实现该电路。

(1)真值表 真值表 (2)逻辑表达式 124712372i 1i

0i -1A A A B A C i i D A B C A B C A B C A B C Y Y Y

Y C A B C A B C A B C

A B C Y Y Y

Y

=++

+=???=+++=???=,=,= (3)画出逻辑电路图,测试电路的逻辑功能。

3.设计一个用三个开关控制一个灯的逻辑电路

电路要求任何一个开关都能控制灯的亮灭。用74LS138和74LS20实现。测试电路的逻辑功能。

(1)设A 、B 、C 为输入变量,分别代表三个开关,变量为“1”表示开关闭合,变量为“0”表示开关断开。设Y 为输出变量,代表灯的工作情况,“1”代表灯亮,“0”代表灯不亮。 真值表

(2)真值表

(3)逻辑表达式

7

421Y Y Y Y ABC C B A C B A C B A Y ???=+++=

(4)画出逻辑电路图,测试电路的逻辑功能。

河 北 科 技 大 学

实 验 报 告

级 专业 班 学号 年 月 日 姓 名 同组人 指导教师 实验名称 实验六 触发器逻辑功能的测试 成 绩 实验类型 验证型 批阅教师

一、实验目的

(1)掌握基本RS 触发器、D 触发器和JK 触发器的逻辑功能及测试方法。 (2)掌握触发器之间的功能转换方法。

二、实验仪器与元器件

(1)直流稳压电源 1台 (2)6502型示波器 1台 (3)集成电路

74LS00 四2输入与非门 1片 74LS74 双D 型上升沿触发器 1片 74LS112 双JK 型下降沿触发器

1片

五、实验内容及步骤

1.由TTL 与非门构成基本RS 触发器

电路如图所示,按表测量相应Q 和Q 的结果,分析触发器功能。

基本RS 触发器

2.集成D 触发器逻辑功能测试

电路如图所示,按表测量相应Q 和Q 的结果,分析触发器功能。

D触发器逻辑功能测试

注:×—表示任意状态。—单次脉冲的上升沿。—单次脉冲的下降沿。(4)根据测试结果,写出D触发器的特性方程。

3.集成JK触发器逻辑功能测试

电路如图所示,按表测量相应Q和Q的结果,分析触发器功能。

JK触发器逻辑功能测试

(4)根据测试结果,写出JK触发器的特性方程。

4.触发器逻辑功能的转换

(1)将D触发器转换成T 型触发器

电路如图所示,绘出完整的CP、Q和Q的波形。

(2)将JK触发器转换成T触发器

电路如图所示,画出完整的CP和Q的波形。

河北科技大学

实验报告

级专业班学号年月日

姓名同组人指导教师

实验名称实验九集成同步计数器的应用电路设计成绩

实验类型设计型批阅教师

一、实验目的

(1)掌握中规模集成同步计数器74LS160的逻辑功能和使用方法。

(2)学习CD4511译码器、共阴数码显示器的使用方法。

二、实验仪器与元器件

(1)直流稳压电源1台

(2)集成电路

74LS00 四2输入与非门1片

74LS20 双4输入与非门1片

74LS160 4位十进制同步计数器2片

CD4511 BCD七段译码/驱动/锁存器2片

LED 共阴数码显示器2片

三、实验内容及步骤

1.74LS160逻辑功能测试

表4-9-1 74LS160的逻辑功能表

2.74LS160的应用

(1)用两片74LS160和门电路74LS00构成24进制计数器(用复位法),显示数字为00-23的循环。

1)并行进位型

2)串行进位型

(2)用74LS160和74LS20设计一个计数电路(用置数法),要求计数显示为1-7。

河北科技大学

实验报告

级专业班学号年月日姓名同组人指导教师

实验名称实验十一 555定时器的应用成绩

实验类型综合型批阅教师

一、实验目的

(1)熟悉555集成定时器的内部结构及工作原理。

(2)掌握用定时器构成多谐振荡电路、单稳态电路和施密特触发电路的工作原理。

(3)进一步学习用示波器测量波形的周期、脉宽和幅值等。

二、实验仪器与元器件

(1)直流稳压电源1台

(2)信号发生器1台

(3)6502型示波器1台

(4)集成电路

555集成定时器1片

(5)阻容元件

电阻、电容若干

三、实验内容及步骤

1.多谐振荡器

电路如图所示:v C、v O的波形:

多谐振荡器的测量结果

2.单稳态触发器

电路如图所示:v C及v o的波形:

计算值:t w=

测量值:t w=

数电实验报告册

湖北理工学院电气与电子信息工程学院 实验报告 课程名称:电子技术实验(数电部分) 专业名称: 班级: 学号: 姓名: 1

湖北理工学院电气与电子信息工程学院实验报告规范实验报告是检验学生对实验的掌握程度,以及评价学生实验课成绩的重要依据,同时也是实验教学的重要文件,撰写实验报告必须在科学实验的基础上进行。真实的记载实验过程,有利于不断积累研究资料、总结研究实验结果,可以提高学生的观察能力、实践能力、创新能力以及分析问题和解决问题的综合能力,培养学生理论联系实际的学风和实事求是的科学态度。 为加强实验教学中学生实验报告的管理,特指定湖北理工学院电气与电子信息工程学院实验报告规范。 一、每门实验课程中的每一个实验项目均须提交一份实验报告。 二、实验报告内容一般应包含以下几项内容: 1、实验项目名称:用最简练的语言反映实验内容,要求与实验课程安排表中一致; 2、实验目的和要求:明确实验的内容和具体任务; 3、实验内容和原理:简要说明本实验项目所涉及原理、公式及其应用条件; 4、操作方法与实验步骤:写出实验操作的总体思路、操作规范和操作主要注意事项,准确无误地记录原始数据; 5、实验结果与分析:明确地写出最后结果,并对实验得出的结果进行具体、定量的结果分析,说明其可靠性; 6、问题与建议(或实验小结):提出需要解决问题,提出改进办法与建议,避免抽象地罗列、笼统地讨论。(或对本次实验项目进行总结阐述。) 三、实验报告总体上要求字迹工整,文字简练,数据齐全,图标规范,计算正确,分析充分、具体、定量。 四、指导教师及时批改实验报告,并将批改后的报告返还学生学习改进。 五、实验室每学期收回学生的实验报告,并按照学校规章保存相应时间。 2

北科大数字图像处理实验报告

北京科技大学计算机与通信工程学院 实验报告 实验名称:《数字图像处理》课程实验 学生姓名:徐松松 专业:计算机科学与技术 班级:计1304 学号:41345053 指导教师:王志明 实验成绩: 实验时间:2016 年12 月15 日

一、实验目的与实验要求 1、实验目的 1. 熟悉图像高斯、脉冲等噪声的特点,以及其对图像的影响; 2. 理解图像去噪算法原理,并能编程实现基本的图像去噪算法,达到改善图像质量的效果,并能对算法性能进行简单的评价。 3. 理解图像分割算法的原理,并能编程实现基本的灰度图像分割算法,并显示图像分割结果。 2、实验要求 1. 对于给定的两幅噪声图像(test1.jpg, test 2.jpg),设计或选择至少两种图像滤波算法对图像进行去噪。 2.利用给出的参考图像(org1.jpg, org2.jpg),对不同算法进行性能分析比较。 3. 对于给定的两幅数字图像(test.jpg,test 4.jpg),将其转换为灰度图像,设计或选择至少两种图像分割算法对图像进行分割,用适当的方式显示分割结果,并对不同算法进行性能分析比较。 二、实验设备(环境)及要求 1. Mac/Windows计算机 2. Matlab编程环境。 三、实验内容与步骤 1、实验1 (1)实验内容 1. 对于给定的两幅噪声图像(test1.jpg, test 2.jpg), 设计或选择至少两种图像滤波算法对图像进行去噪。 2. 利用给出的参考图像(org1.jpg, org2.jpg), 对不同算法进行性能分析比较。(2)主要步骤 1. 打开Matlab编程环境; 2. 利用’imread’函数读入包含噪声的原始图像数据; 3. 利用’imshow’函数显示所读入的图像数据;

数电实验报告

北京邮电大学 数字电路与逻辑设计实验简易电子琴演奏器 班级: 学号: 姓名:

一.设计课题的任务要求 题目五简易电子琴演奏器 原理概述: 根据声乐知识,产生音乐的两个因素是音乐频率的持续时间,音乐的十二平均率规定,每两个八音度之间的频率相差一倍,在两个八音度之间,又可分为12个半音。每两个半音的频率比为4。另外,音名A(乐谱中的低音6)的频率为440HZ,音名B到C之间,E到F之间为半音,其余为全音。由此可以计算出乐谱中从低音1到高音1之间每个音名的频率如下表所示。 基本要求: 1、用8×8点阵显示“1 2 3 4 5 6 7”七个音符构成的电子琴键盘。其中点阵 的第一列用一个LED点亮表示音符“1”,第二列用二个LED点亮表示音符“2”,依此类推,如下图所示。 图1 点阵显示的电子琴键盘 2、用BTN1~BTN7七个按键模拟电子琴手动演奏时的“1 2 3 4 5 6 7”七个 音符。当某个按键按下时,数码管显示相应的音符,点阵上与之对应的音符显示列全灭,同时蜂鸣器演奏相应的声音;当按键弹开时数码管显示的音符灭掉,点阵显示恢复,蜂鸣器停止声音的输出。下图所示为按下BTN3按键时点阵的显示情况。

图2 按键按下后的点阵显示 3、由拨码开关切换选择高、中、低音,并用数码管进行相应的显示。 4、通过按键BTN0进行复位,控制点阵显示图1的初始状态。 提高要求: 1、可通过一个拨码开关进行手动/自动演奏的切换,并与点阵显示配合增加 自动演奏乐曲的功能。 2、增加手动演奏的音符存储、播放功能。 二、系统设计(包括设计思路、总体框图、分块设计) 设计思路 电子琴的设计主要包括了显示与发声部分,发声部分主要分为三个不同的音阶,每个音阶包括了七个音,发生部分可以通过对50M晶振的分频,通过改变分频系数,得到响应频率的时钟脉冲,以这个脉冲控制蜂鸣器发出声音;显示部分则包括了数码管的音阶显示与点阵的琴键显示。数码管可以动态显示出音阶与当前音符,点阵则模拟显示琴键,动态显示实现了一个三角阵,并对应到音符琴键,这两个显示模块可以通过动态显示来实现显示显示不同的内容,配合按键可以实现基本功能。不同的音阶可以通过相应拨码开关的选择来调节,至于显示的复位,则不需要专门设置键位来完成,因为每个转台结束后就会自动转入等待状态。对于音调,乐曲的12平均率规定:每2个八度音之间的频率相差1倍。在2个八度音之间,又可分为12个半音,每2个半音的频率比为12√2。另外,音符A 的频率为440Hz,音符B到C之间、E到F之间为半音,其余为全音。由此可以计算出简谱中从低音1到高音1之间每个音符的频率。而对于节拍,如果将一拍的长度定为1秒,则1/4拍的时间为1/4秒,为其提供一个4Hz的时钟频率即可产生出1/4拍的效果了。若需要半拍,只需将该音符记录两次就可以了。 总体框图

数电实验报告

选课时间段: 序号(座位号): 杭州电子科技大学 实验报告 课程名称: 数字原理与系统设计实验 实验名称: 组合电路时序分析与自动化设计 指导教师: 学生姓名 学生学号 学生班级 所学专业 实验日期

实验一、设计8位串行进位加法器电路设计: 一位全加器: 八位串行进位加法器:

仿真波形:

实验二、设计5人表决电路 代码: module BJDL45(A,B,C,D,E,YES,NO); input A,B,C,D,E; output YES,NO; reg YES,NO; always@ (A,B,C,D,E,YES,NO) case ({A,B,C,D,E}) 5'B00000:{YES,NO}<=2'B01; 5'B00001:{YES,NO}<=2'B01; 5'B00010:{YES,NO}<=2'B01; 5'B00011:{YES,NO}<=2'B01; 5'B00100:{YES,NO}<=2'B01; 5'B00101:{YES,NO}<=2'B01; 5'B00110:{YES,NO}<=2'B01; 5'B00111:{YES,NO}<=2'B10; 5'B01000:{YES,NO}<=2'B01; 5'B01001:{YES,NO}<=2'B01; 5'B01010:{YES,NO}<=2'B01; 5'B01011:{YES,NO}<=2'B10; 5'B01100:{YES,NO}<=2'B01; 5'B01101:{YES,NO}<=2'B10; 5'B01110:{YES,NO}<=2'B10; 5'B01111:{YES,NO}<=2'B10; 5'B10000:{YES,NO}<=2'B01; 5'B10001:{YES,NO}<=2'B01; 5'B10010:{YES,NO}<=2'B01; 5'B10011:{YES,NO}<=2'B10; 5'B10100:{YES,NO}<=2'B01; 5'B10101:{YES,NO}<=2'B10; 5'B10110:{YES,NO}<=2'B10; 5'B10111:{YES,NO}<=2'B10; 5'B11000:{YES,NO}<=2'B01; 5'B11001:{YES,NO}<=2'B10; 5'B11010:{YES,NO}<=2'B10; 5'B11011:{YES,NO}<=2'B10; 5'B11100:{YES,NO}<=2'B10; 5'B11101:{YES,NO}<=2'B10; 5'B11110:{YES,NO}<=2'B10; 5'B11111:{YES,NO}<=2'B10; default: {YES,NO}<=2'B10; endcase

数据结构实验7实验报告

暨南大学本科实验报告专用纸 课程名称数据结构实验成绩评定 实验项目名称习题6.51 指导教师孙世良 实验项目编号实验7 实验项目类型实验地点实验楼三楼机房学生姓名林炜哲学号2013053005 学院电气信息学院系专业软件工程 实验时间年月日午~月日午温度℃湿度(一)实验目的 熟悉和理解二叉树的结构特性; 熟悉二叉树的各种存储结构的特点及适用范围; 掌握遍历二叉树的各种操作及其实现方式。 (二)实验内容和要求 编写一个算法,输出以二叉树表示的算术表达式,若该表达式中含有括号,则应该在输出时添上。 (三)主要仪器设备 实验环境:Microsoft Visual Studio 2012 (四)源程序 #include #include typedef struct bitnode{ char data; struct bitnode *lchild,*rchild; }bitnode,*bitree; void create(bitree &T){ char t; t=getchar();

if(t==' ') T=NULL; else{ if( !( T=(bitnode*)malloc(sizeof(bitnode)) ) ) exit(0); T->data=t; create(T->lchild); create(T->rchild); } } void middle_order(bitree &Node){ if(Node != NULL){ if((Node->data=='*'||Node->data=='/')&&(Node->lchild->data=='+'|| Node->lchild->data=='-')) printf("( "); middle_order(Node->lchild); if((Node->data=='*'||Node->data=='/')&&(Node->lchild->data=='+'|| Node->lchild->data=='-')) printf(") "); printf("%c ", Node->data); if((Node->data=='*'||Node->data=='/')&&(Node->rchild->data=='+'|| Node->rchild->data=='-')) printf("( "); middle_order(Node->rchild); if((Node->data=='*'||Node->data=='/')&&(Node->rchild->data=='+'|| Node->rchild->data=='-')) printf(") "); } } int main() { bitree y; printf("以先序遍历的方式输入二叉树:"); create(y); printf("输出表达式:"); middle_order(y); return 0; } (五)数据调试

广州大学实习报告

广州大学实习报告 项目名称:电工电子实习H 学院:机械与电气工程学院 专业年级:机械144 学号:1407200138 姓名:张誉霖 指导老师:陈新兵张倩 实习单位:广州大学电工电子实习中心 实习时间:2016/5/30-2016/6/3 广州大学教务处制

-、实习目的 1、目的和意义 通过一周的电工电子实习,是自己对元器件有一定感性和理性的认识,打好以后学习专业知识的基础。培养了理论与实践相联系的能力,提高自己分析和解决问题的能力,增强了独立工作的能力。实习是培养学生操作技能的重要环节,而技能的形式是通过观察、实践和反复练习实现的。以实习实践激发同学们的兴趣。在实习过程中,熟悉手工焊锡的常用工具的使用及其维护,基本掌握手工电烙铁的焊接技 术,能够独立的完成简单电子产品的安装与焊接。熟悉电子产品的安装工艺的生产流程。熟悉印制电路板设计的步骤和方法,熟悉手工制作印制电路板的工艺流程,能够根据电路原理图,元器件实物设计并制作印制电路板。熟悉常用电子器件的类别、型号、规格、性能及其适用范围。能够正确识别和选用常用的电子器件,并且能够熟练地使用普通万用表和熟悉万用表。了解电子产品的焊接、调试与维修方 法。通过这样的学习,使学生产生好奇心,凝聚学生的注意力,以保持兴趣。通过对画图软件的学习。提高了学生电路分析能力,增强独立工作,独立思考的能力。 同时在讨论中,培养了学生的团结协作能力 2、发展情况及实习要求 发展情况:“广州大学电工电子实验中心”是“广州大学实验中心”以省级实验教学示范中心的建设要求为标准,根据广州大学“培养知识面宽、基础 厚实、创新意识和创新能力强的高素质应用型人才”的人才培养目标要 求,并基于实验中心的“电子信息实验室”来建设的。本中心的定位是 全校共享的电工电子类专业基础实验室,以及电类专 业学生实践和创新活动基地。电子信息实验室位于广州大学电子信息实 验楼,用房面积11300平方米,现有实验教学分室24间、教师开放室 2间以及学生全天候开放室7间。电子信息实验室承担全校 12 个学院27个专业约50门电工电子以及摄影类的实验教学任务, 实验室还常年全天候开放,承接了大量的毕业设计、电子设计竞赛、自 主学习等开放实验任务。2005年以来,学校正式启动了实验教学团队 的建设。使我校实验教学改革工作得以蓬勃开展。我们注重科研活动 的开展,老师和实验技术人员均积极参与科学研究和实验教学研究,并 取得不少的成绩。学生科研也得到重视。通过开放实验室为学生科研和 电子制作提供条件。学生参加全国大学生电子设计竞赛、实验大赛、挑 战杯等竞赛活动,获得各种各样的奖项,同时还培养了学生的创新、创 业精神和实践能力。 实习要求:在实习过程中,以及今后的专业知识学习过程中以工程人员应具备的基本素养为要求来锻炼自己。在每天的实习结束后,认真检查自己,看自 己达到多少要求,还有什么不足,了解以后应该如何加强。 二、实习内容 实习项目一:安全用电 了解安全用电知识,学会安全操作要领,培养严谨的科学作风和良好的安全作风,电子

数电实验报告1

实验一门电路逻辑功能及测试 一、实验目的 1、熟悉门电路逻辑功能。 2、熟悉数字电路学习机及示波器使用方法。 二、实验仪器及材料 1、双踪示波器 2、器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 74LS04 六反相器1片 三、预习要求 1、复习门电路工作原理相应逻辑表达示。 2、熟悉所有集成电路的引线位置及各引线用途。 3、了解双踪示波器使用方法。 四、实验内容 实验前按学习机使用说明先检查学习机是否正常,然后选择实验用的集成电路,按自己设计的实验接线图接好连线,特别注意Vcc及地线不能接错。线接好后经实验指导教师检查无误方可通电。试验中改动接线须先断开电源,接好线后在通电实验。 1、测试门电路逻辑功能。 (1)选用双输入与非门74LS20一只,插入面包板,按图 连接电路,输入端接S1~S4(电平开关输入插口),输 出端接电平显示发光二极管(D1~D8任意一个)。 (2)将电平开关按表1.1置位,分别测出电压及逻辑状态。(表1.1) 输入输出 1 2 3 4 Y 电压(V) H H H H 0 0.11 L H H H 1 4.23 L L H H 1 4.23 L L L H 1 4.23 L L L L 1 4.23

2、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图接线,输入端1﹑2﹑4﹑5接电平开关,输出端A ﹑B ﹑Y 接电平显示发光二极管。 (2)将电平开关按表1.2置位,将结果填入表中。 表 1.2 3、逻辑电路的逻辑关系 (1)选用四二输入与非门74LS00一只,插入面包板,实验电路自拟。将输入输出逻辑关系分别填入表1.3﹑表1.4。 输入 输出 A B Y Y 电压(V ) L L L L 0 0 0 0.16 H L L L 1 0 1 4.18 H H L L 0 0 0 0.17 H H H L 0 1 1 4.18 H H H H 0 0 0 0.17 L H L H 1 1 0.17 输入 输出 A B Y L L 0 L H 1 H L 1 H H 输入 输出 A B Y Z L L 0 0 L H 1 0 H L 1 0 H H 1

数电实验实验报告

数字电路实验报告

实验一 组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路 74LS20集成电路 四2输入与非门 双4输入与非门 二.实验内容 1.实验一 自拟表格并记录: 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码ABCD 是什么? X1 2.5 V A B C D 示灯:灯亮表示“1”,灯灭表示“0” ABCD 按逻辑开关,“1”表示高电平,“0”表示低电平

ABCD 接逻辑电平开关。 最简表达式为:X1=AB ’C ’D 密码为: 1001 A B C D X1 X2 A B C D X1 X2 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 1 0 1 1 1 1 1 1 1 1 1 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片 ,和使用仿真软件来设计和构造逻辑电路来求解。 实验二 组合逻辑实验(一) 半加器和全加器 一.实验目的 1. 熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1. 复习用门电路设计组合逻辑电路的原理和方法步骤。 2. 复习二进制数的运算。 3. 用“与非门”设计半加器的逻辑图。 4. 完成用“异或门”、“与或非”门、“与 非”门设计全加器的逻辑图。 5. 完成用“异或”门设计的3变量判奇 电路的原理图。 三.元 件参考 U1A 74LS00D U1B 74LS00D U1C 74LS00D U1D 74LS00D U2A 74LS00D U2B 74LS00D U2C 74LS00D U3A 74LS20D X1 2.5 V X2 2.5 V VCC 5V A B C D

数字电子技术实验报告汇总

《数字电子技术》实验报告 实验序号:01 实验项目名称:门电路逻辑功能及测试 学号姓名专业、班级 实验地点物联网实验室指导教师时间2016.9.19 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实验。实验中

1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显 图 1.1 示发光二极管D1~D4任意一个。 (2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。 表1.1 输入输出 1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v) H H H H 0 0 L H H H 1 1 L L H H 1 1 L L L H 1 1 L L L L 1 1 2. 异或门逻辑功能的测试

图 1.2 (1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。 (2)将逻辑开关按表1.2的状态,将结果填入表中。 表1.2 输入输出 1(K1) 2(K2) 4(K35(K4) A B Y 电压(V) L H H H H L L L H H H H L L L H H L L L L L H H 1 1 1 1 1 1 1 1

DSS实验报告例子

本科实验报告 课程名称:决策支持系统 课程编号:07010192 学生姓名: 学号: 学院:信息科学技术学院 系:数学系 专业:信息管理与信息系统 指导教师:谭满春 教师单位:数学系 开课时间:2014 ~ 2015学年度第1学期 暨南大学教务处 2014 年12 月1 日

《决策支持系统》课程实验项目目录 *实验项目类型:演示性、验证性、综合性、设计性实验。 *此表由学生按顺序填写。

暨南大学本科实验报告专用纸 课程名称决策支持系统成绩评定 实验项目名称基金的使用模型与计划指导教师谭满春 实验项目编号0701*******实验项目类型验证性实验地点南海楼209 学生姓名学号 学院信息科学技术学院系数学系专业信息管理与信息系统 实验时间2014 年11 月3日上午~11月3日下午温度℃湿度【实验目的】 1.介绍与线性方程组有关的基本概念。 2.了解线性方程组的消去法、迭代法等基本求解方法。 3.学习MA TLAB软件中有关线性方程组运算的命令。 【实验内容】 某校基金会有一笔数额为M元的基金,打算将其存入银行,当前银行存款及各期的利率见下表,取款政策参考银行的现行政策。 校基金会计划在n年内每年用部分本息奖励优秀师生,要求每年的奖金额大致相同,且在n年末仍保留原基金数额。校基金会希望获得最佳的基金使用计划,以提高每年的奖金额。请你帮助校基金会在上述情况下设计基金存款使用方案,并对M=5000万元,n=10年给出具体结果。 【实验方法与步骤】 1.问题的分析 问题本身含有一些不确定的因素,比如说基金到位的时间,每年奖学金发放的日期,银行利率的变动情况等。为使问题简化,先做如下假设: 假设1:该笔资金于年底一次性到位,自下年起每年年底一次性发放的奖金,每年发放的奖金额尽可能的相同; 假设2: 银行存款利率执行现行利率标准,且在n年内不发生变化。 M i 为用作奖学金的钱,这些钱经过存入银行加息的将总额M分成11份,(1,2,,10) i 过程,到第i年取出用作第i年的奖学金钱;M11为用作奖池的钱,即经过10年的银行加

数电实验报告

数字电子技术实验报告 学院:工程学院 专业:※※※ 姓名: ※※※ 学号: ※※※ 指导教师:※※ 时间: 2013.12.10

目录 实验一 2位二进制乘法器 (1) 一、实验要求: (1) 二、实验原理: (1) 三、实验分析 (1) 四、实验电路(如图1-7): (4) 五、仿真结果: (4) 六、注意事项: (6) 实验二可控加/减法 (7) 一、实验要求: (7) 二、实验原理: (7) 三、实验分析: (7) 四、实验电路: (11) 五、仿真结果: (13) 六、注意事项: (14) 实验三可控乘/除法 (15) 一、实验要求: (15) 二、实验原理: (15) 三、实验分析: (15) 四、实验电路: (19) 五、仿真结果: (20) 六、注意事项: (21) 实验四模拟信号可控乘除法 (22) 一、实验要求: (22) 二、实验原理: (22) 三、实验分析: (24)

四、实验电路 (26) 五、仿真结果: (27) 六、注意事项: (32) 实验五自动控制增益电路 (33) 一、实验要求: (33) 二、实验原理: (33) 三、实验分析: (34) 四、实验电路: (35) 五、仿真结果: (36) 六、注意事项: (39) 参考文献 (40) 总结 (41)

实验一2位二进制乘法器 一、实验要求: 用加法器实现两位二进制数的乘法。 二、实验原理: 设两位二进制数分别为A1A0和B1B0,就跟我们平常进行手工乘法一样,我们需要列乘式,则它们之间的乘法可用如下计算表示(如图1-1): 图1-1 二进制数间乘法图 所以我们如果实现了两个一位二进制的相乘,再利用加法器就可以得出结果,而两个位二进制的乘法即与运算。 三、实验分析 乘法的相乘利用与门实现,比如74LS08,然后将乘出的结果进行相加可以利用加法实现,比如四位加法器74LS283。 74LS08只是含有4个独立的与门,没有什么需要深入分析的。74LS283是快速进位集成4位加法器,所谓快速进位,是指加法运算过程中,各级进位信号同时送到各位全加器的进位输出端。超前进位加法器使每位的进位直接由加数和被加数产生,而无需等待低位的进位信号。这种加法器比串行进位加法器速度快了不少。74LS283是由4个全加器构成的,全加器的结构图如下图1-2: 1位二进制数和 1位二进制数相加 低位来的进位高位进位 图1-2 全加器的结构图

暨南大学本科报告专用纸

暨南大学本科实验报告专用纸 课程名称操作系统程序设计成绩评定 实验项目名称进程调度试验指导教师郝振明 实验项目编号003 实验项目类型设计性实验地点宿舍 学生姓名刘永均学号2004051082 学院信息科学技术学院系计算机科学与技术专业软件工程 实验时间06年11月4日午~11月15日午温度29 ℃湿度40%进程调度模拟实验 1.实验目的 通过对进程调度算法的模拟加深对进程概念和进程调度过程的理解。 2.实验内容 用C语言、Pascal语言或其他开发工具实现对N(N=5)个进程的调度模拟,要求至少采用两种不同的调度算法(如简单轮转法Round Robin和优先权高者优先算法Highest Priority First),分别进行模拟调度。 每个用来标识进程的进程控制块PCB用结构(记录)来描述,根据需要,它包括以下字段: 进程标识数ID。 进程优先数Priority,并规定优先数越大的进程,其优先权越高。采用简单轮转法时该字段无用。 进程已经占用的CPU时间CPUTIME(以时间片为单位,下同)。 进程还需占用的CPU时间ALLTIME。当进程运行完毕时,ALLTIME变为0。 进程的阻塞时间STARTBLOCK,表示当进程再运行STARTBLOCK个时间片后,进程将进入阻塞状态。 进程被阻塞的时间BLOCKTIME,表示已经阻塞的进程再等待BLOCKTIME个时间片后,将转换成就绪状态。 进程状态STATE。 队列指针NEXT,用来将PCB排成队列。 优先数改变的原则(采用简单轮转法时该字段无用): 进程在就绪队列中等待一个时间片,优先数增加1; 进程每运行一个时间片,优先数减3。 假设在进行调度前,系统中有5个进程,它们的初始状态可以编程输入(更具有灵活性),也可以初始化为如下内容: ID PRIORITY CPUTIME ALLTIME STARTBLOCK BLOCKTIME STA TE 0 9 0 3 2 3 READY 1 38 0 3 -1 0 READY 2 30 0 6 -1 0 READY 3 29 0 3 -1 0 READY 4 0 0 4 -1 0 READY 为了清楚地观察诸进程的调度过程,程序应该将每个时间片内各进程的情况显示出来并暂停,参考格式如下: 运行/Running:I 就绪队列/Ready Queue:Idi,Idj,…

常用电子仪器的使用实验报告

广州大学学生实验报告 院(系)名称班 别姓名 专业名称学号 实验课程名称模拟电路实验 实验项目名称常用电子仪器的使用 实验时间实验地点 实验成绩指导老师签名 【实验目的】 1、学习电子电路实验中常用的电子仪器——示波器、函数信号发生器、直流稳压电源、交流毫伏表、频率计等的主要技术指标、性能及正确使用方法。 2、初步掌握用双踪示波器观察正弦信号波形,锯齿波信号波形,方波波形与读取波形参数的方法。【实验仪器与材料】 DS1062E数字示波器一台 AS101E函数信号发生器一台 DA-16D交流毫伏表一台 【实验原理】 在模拟电子电路实验中,经常使用的电子仪器有示波器、函数信号发生器、直流稳压电源、交流毫伏表及频率计等。它们与万用电表一起,可以完成对模拟电子电路的静态与动态工作情况的测试。 实验中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手,观察与读 数方便等原则进行合理布局,各仪器与被测实验装置之间的布局与连接如图1-1所示。接线时应注意,为防止外界干扰,各仪器的共公接地端应连接在一起,称共地。信号源与交流毫伏表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。 【实验步骤】 1、用机内校正信号对示波器进行自检。 (1) 扫描基线调节 将示波器的显示方式开关置于“单踪”显示(Y1或Y2),输入耦合方式开关置“GND”,触发方式开关置于“自动”。开启电源开关后,调节“辉度”、“聚焦”、“辅助聚焦”等旋钮,使荧光屏上显 示一条细而且亮度适中的扫描基线。然后调节“X轴位移”()与“Y轴位移”( )旋钮,使扫 描线位于屏幕中央,并且能上下左右移动自如。 (2)测试“校正信号”波形的幅度、频率 将示波器的“校正信号”通过专用电缆线引入选定的Y通道(Y1或Y2),将Y轴输入耦合方式开关置于“AC”或“DC”,触发源选择开关置“内”,内触发源选择开关置“Y1”或“Y2”。调节X轴“扫描速率”开关(t/div)与Y轴“输入灵敏度”开关(V/div),使示波器显示屏上显示出一个或数个周期稳定的方波波形。

数电实验报告

班级:姓名: 学号: 实验报告(一)TTL集成逻辑门的逻辑功能与参数测试1.测试TTL集成与非门74LS20的逻辑功能,测试结果记录如下表: 输入输出 An Bn Cn Dn Yn 1 1 1 1 0 1 1 1 1 0 1 1 1 1 0 1 1 1 1 0 2. 74LS20主要参数的测试 I CCL (mA) I CCH (mA) I il (mA) I OL (mA) N O= iL OL I I 3. 电压传输特性测试 V i(V) 0 0.4 0.7 0.9 1.0 1.1 1.2 1.3 1.4 2.0 3.0 4.0 … V O(V) 4.画出实测的电压传输特性曲线,并从中读出各有关参数值。

实验报告(二)CMOS 电路 1.用所给的集成电路(CD4007)实现F=ABC ,将实验结果填入真值表中,并测出高、低电平(真值表自拟,测试步骤自拟)。 2. 用所给的集成电路实现F=C B A ++(真值表自拟,测试步骤自拟)。 3. 用所给的集成电路,构成图2-2反相器。 (a )测最大灌电流I OL (V OL =0.1V ,接通图2-2中的虚线框①)。 (b )测最大拉电流I OH (V OH =4.9V,断开虚线框①,接通虚线框②。 4. 构成如图2-3所示的反相器,测最大灌电流I OL 。

实验报告(三)组合逻辑电路实验分析与设计(1) 写出由与非门组成的半加器电路的逻辑表达式 (2) 根据表达式列出真值表,并画出卡诺图判断能否简化 A B Z1 Z2 Z3 S C 0 0 0 1 1 0 1 1 实验: 1.测试由与非门组成的半加器电路的逻辑功能 A B S C 0 0 0 1 1 0 1 1 2.测试用异或门74LS86和与非门74LS00组成的半加器的逻辑功能 A B S C 0 0 0 1 1 0 1 1

暨南大学本科实习报告

本科实习报告 实习名称:毕业实习 实习性质:教学实习 实习时间: 实习地点:会计师事务所 学生姓名: 学号: 学院:管理学院 学系:会计系 专业: 指导教师: 教师单位:暨南大学管理学院会计学系 暨南大学教务处 年月日

填写说明 1.暨南大学本科实习报告适用于本校全日制本科生的各类实习教学活动。 2.实习名称应严格按照本科实习教学计划、实习教学大纲填写。 3.实习性质是指:认识实习(社会调查)、教学(生产、临床、劳动)实习、毕业(综合)实习、金工和电子电工实习及其它(请具体说明)。 4.实习时间是指实习的起止日期。 5.实习报告正文内容由各系按照专业特点和实习教学大纲的要求确定。 6.暨南大学本科实习报告册的装订顺序为:封面、填写说明、实习报告正文等。

寒假实习是暨南大学会计系学生重要的一门必修课,会计师事务所的工作具有非常高的季节性,我在大二暑假去过我家附近的会计师事务所实习,可是作为事务所的淡季,实习生基本上都很清闲,最后也没有从中掌握到什么实际技能。作为一个会计系的学生,我明白课堂上老师教的只能是理论,无论我对那些知识掌握得有多好,知道每一个会计科目的意思,明白每一张报表的格式排列,都只是理论而已。所以一开始我就对本次寒假实习抱有相当大的期望,希望通过忙碌的实习掌握有用的技能。当我实际接触到真实的会计账目的时候,我发现数字远远重要得多,不仅要探究数字是否正确,还要去追溯这个数字背后的意义。 由于要实习,我们的期末考试在年底全部结束,至于实习地点我们采取了抽签,我们学校的的待遇还是很不错的,供选的事务所都是广州有名的事务所。我抽取的是广州市大公会计师事务所。我的实习期由1月7日开始,第一天到事务所里报到了之后,我们被告知了作为实习生的一些规矩之后就被分配到了不同的部门。我被分配到了审计二部,换言之我这个寒假实习的职位就是审计员。第二天开始我就开始出外勤了,就是到各个企业去搜集出具审计报告所需要的各种审计证据。不得不说,多亏了整个实习期的外勤,也多亏了带领我的项目经理桃姐耐心详细地教会我日常需要做的,而且还详细解释了这样做的原因,这使我对审计的理解有了更深的层次,而不只是书本上那些非常抽象的理论。虽然自己也是会计专业,但缺少实践经验,而且有一些就算是在学校也学不到的。 实习本来就是大学里边必须经历一个阶段,但是在实习期间我们以什么心态对待确实很重要,首先我们要面对的真实的社会,工作是辛苦的,其次是我们的工资很低,且在不同事务所待遇不同,尽管做了同样的工作甚至更累,但却拿更低的工资。所以我们必须抱着一种学习的心态,公司赚钱,我们学东西。再加上社会本来就那样,劳动与报酬

自动控制原理实验报告

暨南大学本科实验报告专用纸 课程名称自动控制原理成绩评定 实验项目名称典型环节的电路模拟指导教师 实验项目编号0806105701实验项目类型设计实验地点 学生姓名学号 学院电气信息学院专业自动化 实验时间2014年3月24 日下午 一、实验目的 1.熟悉THBDC-1型控制理论·计算机控制技术实验平台及“THBDC-1”软件的使用; 2.熟悉各典型环节的阶跃响应特性及其电路模拟; 3.测量各典型环节的阶跃响应曲线,并了解参数变化对其动态特性的影响。 4.观测二阶系统的阻尼比分别在0<ζ<1,ζ =1和ζ>1三种情况下的单位阶跃响应曲线;二、实验环境 1.THBDC-1型控制理论·计算机控制技术实验平台; 2.PC机一台(含“THBDC-1”软件)、USB数据采集卡、37针通信线1根、16芯数据排线、USB接口线。 三、实验报告要求 1.画出各典型环节的实验电路图,并注明参数。 2.写出各典型环节的传递函数。 3.根据测得的典型环节单位阶跃响应曲线,分析参数变化对动态特性的影响。 4.画出二阶系统线性定常系统的实验电路,并写出闭环传递函数,表明电路中的各参数; 5.根据测得系统的单位阶跃响应曲线,分析开环增益K和时间常数T对系统的动态性能的影响。 四、实验内容 1:比例环节 根据比例环节的方框图,设计并组建相应的模拟电路,

图中后一个单元为反相器,R0=200K,传递函数:G(s)=Uo(s)/Ui(s)=K。 当比例系数K=1时,电路中的参数取:R1=100K,R2=100K。 实验结果如下图: 当比例系数K=2时,因为K=R2/R1,所以R2=200K,R1=100K,结果如下:

数电实验报告(一)

数字电路实验设计报告

实验名称:组合逻辑研究(一)——QuartusⅡ软件的使用 实验目的: 1.学会使用QuartusⅡ软件,运用该软件设计电路原理图。 2.学会用语言设计电路原理图,并会对设计图进行功能和时序 仿真。 3.学会从QuartusⅡ软件中下载原理图到FPGA,测试电路功能。实验仪器: 1.计算机1台 2.数字电路实验板1块 实验内容: 1.利用软件,用原理图输入的方法实现三变量多数表决器电 路,进行功能和时序仿真,记录仿真波形。 2.利用QuartusⅡ软件,用VHDL文本输入的方法实现一位全加 器电路,进行功能和时序仿真,并下载入FPGA,在试验箱上 测试其电路功能。 设计过程及仿真结果: 1.三变量多数表决器原理图

功能仿真波形 时序仿真波形 2.一位全加器的VHDL语言描述 entity add1 is port( A,B,C: in bit; D,S: out bit ); end add1; architecture one of add1 is begin S<=A XOR B XOR C; D<=((A XOR B) AND C) OR (A AND B); end one;

一位全加器功能真值表 验证其功能 功能仿真波形 时序仿真波形

实验结果分析: (1)由仿真结果可以看出,三变量多数表决器电路原理图及一位全加器的VHDL语言描述正确。 (2)由仿真结果可知,功能仿真时对信号的输入没有延迟,而时序仿真时,当多个输入信号在同一时刻处同时发生变化时,此时电路存在竞争,会有冒险,故从仿真图上可以看到毛刺。

数字电路实验报告3

数字电路实验报告3 暨南大学本科实验报告专用纸 课程名称数字逻辑电路实验成绩评定实验项目名称三态门特性研究和典型应用指导教师实验项目编号 0806003803实验项目类型验证型实验地点 B406 学生姓名学号 学院电气信息学院系专业电子信息科学与技术实验时间 2013 年5 月27日上午~月日午温度℃湿度 三态门特性研究和典型应用 一、实验目的 1.学习应用实验的方法分析组合逻辑电路功能。 2.熟悉三态门逻辑特性和使用方法。 3.掌握三态门的典型应用,熟悉三态门输出控制和构成总线的应用。 4.学习数字系统综合实验平台可编辑数字波形发生器使用方法。 二、实验器件、仪器和设备 1. 4双输入与非门74LS00 1片 2. 4三态输出缓冲器74LS125 1片 3. 4异或门74LS86 1片 4. 数字万用表UT56 1台 5. TDS-4数字系统综合实验平台 1台 6. PC机(数字信号显示仪) 1台 7. GOS-6051示波器 1台 芯片引脚图 三、实验步骤和测试分析 1、三态门逻辑特性测试(用表格记录测试数据) ①74LS125三态门的输出负载为74LS00一个与非门输入端。 74LS00同一个与非门的另一个输入端接低电平,测试74LS125三态门三态输出、高电平输出、低电平输出的电压值。同时测试74LS125三态输出时74LS00输出值。 测试电路图及数据表格如下页所示。 ②74LS125三态门的输出负载为74LS00一个与非门输入端。 74LS00同一个与非门的另一个输入端接高电平,测试74LS125三态门三态输出、高电平输出、低电平输出的电压值。同时测试74LS125三态输出时74LS00输出值。

数电实验报告

《数字电子技术》 实验报告 姓名:*** 班级:****888 学号:2014*******8 指导老师:**** 编制时间:2016.06.10 北京联合大学

实验一基本集成逻辑门电路功能分析 一、实验目的 1.理解TTL和CMOS普通门电路的参数含义。 2.掌握TTL和CMOS普通门电路的使用方法。 3.掌握分析普通门电路逻辑功能的一般方法。 4.理解TTL和CMOS普通门电路参数的一般分析方法。 二、实验元器件 双四输入与非门 74LS00×1片 六反相器 74LS04×1片 电阻 300Ω×1只 三、实验内容 (一)TTL双四输入与非门74LS00功能分析 (1)逻辑功能分析 参考图1.1连接电路。一只74LS00芯片中含有四个相同的2输入与非门,可以随意选用,此处选用的是第一个门电路。检查电路无误时方可通电。 图1.1 与非门逻辑功能测试电路

变换单刀双掷开关J1和J2的状态,用直流电压表测试电路的输出电压,将测试结果记入表1.1中。 表1.1 (2)电压传输特性分析 依照图1.3编辑电路。在0~5V间逐步调整输入的直流电压,将随之变化的输出电压记入表1.2中。 U1A 图1.3 分析与非门电压传输特性仿真电路 表1.2

实验二组合逻辑电路分析与设计 一、实验目的 1.掌握SSI组合电路的基本设计方法。 2.掌握SSI组合电路的基本分析方法。 3.了解排除组合电路故障的一般方法。 二、实验元器件 四异或门 74LS86×1片 双四输入与非门 74LS00×1片 六反相器 74LS04×1片 电阻 300Ω×4只 发光二极管 4只 三、实验内容 (一)分析“三个开关控制一盏灯”电路 根据图2.1所示的引脚接线图连接实验电路。74LS86中有四个异

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决 于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过 程,一般按图 1-1 所示步骤进行分析。 组合逻辑电路逻辑表达式最简表达式真值表确切电路功能 图 1-1组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2 所示步骤进 行设计。 问题提出真值表逻辑表达式化简变换逻辑图 图 1-2组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图 1-3 所示连接电路。 XLC1 U1A U2A 74LS136D74LS04D U1C U2C U1B U2B 74LS136D74LS04D B74LS136D74LS04D A 图1-3 待分析的逻辑电路 A b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图 1-4 所示结果。观察真值表,我们发现:当四个输

入变量 A,B,C,D 中 1 的个数为奇数时,输出为 0,而当四个输入变量A,B,C,D 中1 的个数为偶数时,输出为 1。因此这是一个四位输入信号的奇偶校验电 路。 图 1-4 经分析得到的真值表和表达式 (2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火灾 探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探 测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5 所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平( 1),表示有火灾报警;一种是低电平( 0),表示正常无火灾报警。因此,令 A、B、C 分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令 F 为报 警控制电路的输出。

相关文档