文档库 最新最全的文档下载
当前位置:文档库 › 数据选择器、加法器、数值比较器验证试验报告

数据选择器、加法器、数值比较器验证试验报告

数据选择器、加法器、数值比较器验证试验报告
数据选择器、加法器、数值比较器验证试验报告

实验报告

一、 1.实验目的:验证数据选择器的作用

2.实验器材:12V直流电源、单刀双掷开关、74HC153数据选择器一片、电压

表。

3.实验内容:利用半片数据选择器产生

Z=X’Y’W’+X’YW+XY’W+XYW’+XYW这样个逻辑函数式。原逻辑函数式可转换为:Z=X’(Y’W’)+X(Y’W)+X(YW’)+1(YW)将逻辑式中的Y=A,W=B,X=C;1C0=C’,1C1=1C2=C,1C3=1;如图所示

4.实验分析:A、B作为地址输入端,C作为数据输入端,1Y作为数据输

出端,1G作为控制端并当为低电平时有效,由

Z=X’(Y’W’)+X(Y’W)+X(YW’)+1(YW)函数式可得Y、W来决定输入数据与输出

数据的关系,通过此作用来对数据进行选择;X、Y、W三个输入端中的两个决

定着另一个的输出,从而达到数据的选择。

二、 1.实验目的:验证加法器

2.实验器材: 12V直流电源、单刀双掷开关、74HC283加法器一片、电压表。

3.实验内容:如下图所示,此电路当中当电压表示数为6V时代表高电平记为1,当电压表示数为0V时代表低电平记为0;由此对此加法器进行部分数据统计,以证其正确性。现统计A(1,2,3,4)、B(5,6,7,8)相等的数相加之和的数据情况,相等的数值的十进制范围为0-5

4.实验分析:上述表的两个输入端输入高低电平在实验中与计算的结

果相一致,说明加法器工作正常并符合二进制加法规则,加法器验证完毕。

三、 1.实验目的:验证数值比较器

2.实验器材:12V直流电源、单刀双掷开关、4585BD数值比较器一片、电压表。(如下图所示)

3.实验内容:为验证4585BD数值比较器工作机理,现只输入一位二进制进行比较即A0、B0输入高低电平,通过输入的高低电平与三个电压表的电压显示来验证数值比较器的正确性。(注:15V代表1,0V代表0)

(下图统计在AGTB、ALTB为低电平AEQB为高电平的状态下)

4.实验分析:OAGTB代表A0>B0输出、OAEQB代表A0=B0输出、OALTB 代表A0

证。

电压比较器实验

实验报告 课程名称:___模拟电子技术实验____________指导老师:_ ___ _成绩:__________________ 实验名称:________实验类型:_EDA___________同组学生姓名:__ __ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 一. 实验目的 1.了解电压比较器与运算放大器的性能区别; 2.掌握电压比较器的结构及特点; 3.掌握电压比较器电压传输特性的测试方法; 4.学习比较器在电路设计中的应用。 二. 实验内容 1 .过零电压比较器 2 .单门限电压比较器 3 .滞回电压比较器 4 .窗口电压比较器 5 .三态电压比较器 三.实验原理 比较器的输出结构 集电极开路输出比较器 集电极/发射极开路输出比较器

漏极开路输出比较器 推挽式输出比较器 ● 过零电压比较器电路 : 过零电压比较器是电压比较电路的基本结构,它可将交流信号转化为同频率的双极性矩形波。常用于测量正弦波的频率相位等。当输入电压 时,输出 ;反之,当输入电压 时,输出 。 ● 基本单门限比较器电路 单门限比较器的输入信号V in 接比较器的同相输入端,反相输入端接参考电压V ref (门限电平) 。当输入电压V in >V ref 时,输出为高电平V OH ;当输入电压V in

数据选择器实验报告.docx

谢谢欣赏实验三数据选择器 实验人员:班号:学号: 一、实验目的 (1) 熟悉并掌握数据选择器的功能。 (2) 用双4选1数据选择器74LS153设计出一个16选1的数据选择器。 (3) 用双4选1数据选择器74LS153 设计出一个全加法器。 二、实验设备 数字电路实验箱,74LS00,74LS153。 三、实验内容 (1) 测试双4选1数据选择器74LS153的逻辑功能。 74LS153含有两个4选1数据选择器,其中A0和A1为芯片的公共地址输入端,Vcc 和GND分别为芯片的公共电源端和接地端。Figure1为其管脚图: Figure 1 1Q=A1A01D0+A1A0?1D1+A1A0?1D2+A1A0?1D3 2Q=A1A02D0+A1A0?2D1+A1A0?2D2+A1A0?2D3 按下图连接电路: Figure 2 (2) 设某一导弹发射控制机构有两名司令员A、B和两名操作员C、D,只有当两名司令员均同意发射导弹攻击目标且有操作员操作,则发射导弹F。利用所给的实验仪器设计出一个符合上述要求的16选1数据选择器,并用数字电路实验箱上的小灯和开关组合表达实验结果。 思路: 由于本实验需要有四个地址输入端来选中16个数据输入端的地址之中的一个,进而实现选择该数据输入端中的数据的功能,即16选1。而公共的A0、A1两个地址输入端和S使能端(用于片选,已达到分片工作的目的,进而扩展了一位输入)一共可以提供三个地址输入端,故需要采用降维的方法,将一个地址输入隐藏到一个数据输入端Dx 中。本实验可以降一维,也可以降两位。由于两位比较复杂,本实验选择使用降一维的方式。 做法: 画出如应用题中实现所需功能的卡诺图: 谢谢欣赏

数据选择器实验报告

实验三数据选择器 实验人员:班号:学号: 一、实验目得 (1)熟悉并掌握数据选择器得功能. (2)用双4选1数据选择器74LS153设计出一个16选1得数据选择器。 (3)用双4选1数据选择器74LS153 设计出一个全加法器。 二、实验设备 数字电路实验箱,74LS00,74LS153. 三、实验内容 (1)测试双4选1数据选择器74LS153得逻辑功能。 74LS153含有两个4选1数据选择器,其中与为芯片得公共地址输入端,与分别为芯片得公共电源端与接地端.Figure1为其管脚图: Figure 错误!未定义书签。 ?按下图连接电路: Figure 错误!未定义书签。 (2) 设某一导弹发射控制机构有两名司令员A、B与两名操作员C、D,只有当两名司令员均同意发射导弹攻击目标且有操作员操作,则发射导弹F.利用所给得实验仪器设计出一个符合上述要求得16选1数据选择器,并用数字电路实验箱上得小灯与开关组合表达实验结果。 思路: 由于本实验需要有四个地址输入端来选中16个数据输入端得地址之中得一个,进而实现选择该数据输入端中得数据得功能,即16选1。而公共得、两

个地址输入端与使能端(用于片选,已达到分片工作得目得,进而扩展了一位输入)一共可以提供三个地址输入端,故需要采用降维得方法,将一个地址输入隐藏到一个数据输入端 中。本实验可以降一维,也可以降两位。由于两位比较复杂,本 实验选择使用降一维得方式。 做法: ?画出如应用题中实现所需功能得卡诺图: 00 01 11 10 00 01 0 0 0 0 0 0 1 0 11 0 0 1 0 10 1 : 00 01 11 10 0 1 0 0 D 0 0 1 ,“1”表示高电平,“0”表低电平,均由开关上下拨动来控制;A 、B、C 、D分别为题中得两个司令员得同意情况与两个操作员得操作情况;F 为导弹发射情况,将F接到小灯上即可。电路如Figu re 1所示(图中 即 ,后面得图均为如此): Figure 3 AB CD C AB

电压比较器实验报告材料

`实验报告 课程名称:电路与电子技术实验指导老师:成绩: 实验名称:电压比较器及其应用实验类型:电子电路实验同组学生姓名: 一、实验目的二、实验内容 三、主要仪器设备四、实验数据记录、处理与分析 五、思考题及实验心得 一、实验目的 1.了解电压比较器与运算放大器的性能区别; 2.掌握电压比较器的结构及特点; 3.掌握电压比较器电压传输特性的测试方法; 4.学习比较器在电路设计中的应用。 二、实验内容及原理 实验内容 1.设计过零电压比较器电路,反相输入端接地,同相输入端接1kHz、1V正弦波信号,测量并绘制输出波形和电压传输特性曲线。 2.设计单门限电压比较器电路,同相输入端接1V直流电压,反相输入端接1kHz、1V正弦波信号,测量3.并绘制输出波形和电压传输特性曲线。

4.设计反相输入(下行)滞回电压比较器,反相输入端接1kHz、1V正弦波信号,测量并绘制输出波形 和电压传输特性曲线。 5.设计窗口电压比较器电路,输入为1kHz、5V三角波信号,设置参考电压Vref1为1V直流电压,参考电压Vref2为4V直流电压,测量并绘制输出波形和电压传输特性曲线。 6.设计三态电压比较器电路,输入电压信号Vin为1kHz、5V三角波信号,当输入Vin

数值比较器的应用

数值比较器电路的仿真分析及应用 程勇 陈素 陈淑平 (机电信息工程系 实训中心 450008) 摘要:数值比较器是数字电路中经常用到的典型电路,传统的教学模式中,对数值比较器的学习及应用设计,离不开在实验室中的电路调试,学习方式较为枯燥抽象,又耗时费力,学习效果也不尽理想。现代电子设计中,由于仿真软件的出现,变抽象的知识为直观的展示,既可以通过仿真学习数值比较器的工作原理,又可以通过仿真进行数值比较器的应用设计,学习及应用效果事半功倍。 关键词:数值比较器、仿真分析、应用 在各种数字系统尤其是在数字电子计算机中,经常需要对两个二进制数进行大小判别,然后根据判别结果转向执行某种操作。用来完成两个二进制数的大小比较的逻辑电路称为数值比较器,简称比较器。在数字电路中,数值比较器的输入是要进行比较的两个二进制数,输出是比较的结果。 一.电路设计分析 首先讨论1位数值比较器。1位数值比较器是多位比较器的基础。当A 和B 都是1位二进制数时,它们的取值和比较结果可由1位数值比较器的真值表表示,如表1所示。 表1 1位数值比较器的真值表 由真值表可得如下逻辑表达式 A B A B A B F AB F AB F AB AB A B ><====+=⊕ 由逻辑表达式可以画出如图1所示的逻辑图。

图1 1位数值比较器逻辑图 二.比较器电路的仿真分析 (一)元件选取及电路组成 打开仿真软件Multisim 10,根据图1所示的1位数值比较器逻辑图,可以在仿真软件Multisim 10中构建仿真电路,如 图3所示。 1.元件选取 (1)指示灯的选取 1位数值比较器逻辑运算完后,输出结果处 接一指示灯作为指示,灯亮表示运算结果成立, 灯灭表示运算结果不成立。单击元件栏的Place Indicator→PROBE,选取PROBE_RED指示灯。 为了观察清晰明白,将指示灯PROBE连击打开其图2 指示灯的Label设置 设置对话框,在其Label中的标号由默认的X1改为“A等于B”、“A大于B”、“A 小于B”等。如图2所示。 (2)其他元器件可参照以下说明取用。 电源VCC:Place Source→POWER_SOURCES→VCC 接地:Place Source→POWER_SOURCES→GROUND,选取电路中的接地。 或非门U1A的选取:Place TTL→74LS→74LS02D 与门U3A、U5A的选取:Place TTL→74LS→74LS08D 非门U2 A、U4A的选取:Place TTL→74LS→74LS04N 2.电路组成 参照图3放置元件并进行连接,构成1位数值比较器的仿真测试电路。 (二)仿真分析

八选一数据选择器和四位数据比较器verilog实验报告)

Verilog HDV 数字设计与综合 实验报告 微电子0901班 姓名:袁东明 _ 学号:_04094026 一、实验课题: 1.八选一数据选择器 2.四位数据比较器 二、八选一数据选择器Verilog程序: 2.1主程序 module option(a,b,c,d,e,f,g,h,s0,s1,s2,out); input [2:0] a,b,c,d,e,f,g,h; input s0,s1,s2; output [2:0] out; reg [2:0] out; always@(a or b or c or d or e or f or g or h or s0 or s1 or s2) begin case({s0,s1,s2}) 3'd0 : out=a;

3'd1 : out=b; 3'd2 : out=c; 3'd3 : out=d; 3'd4 : out=e; 3'd5 : out=f; 3'd6 : out=g; 3'd7 : out=h; endcase end endmodule 2.2激励程序 module sti; reg [2:0] A,B,C,D,E,F,G,H; reg S0,S1,S2; wire [2:0] OUT; option dtg(A,B,C,D,E,F,G,H,S0,S1,S2,OUT); initial begin A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=1;

数据选择器实验报告

实验三 数据选择器 实验人员: 班号: 学号: 一、实验目的 (1) 熟悉并掌握数据选择器的功能。 (2) 用双4选1数据选择器74LS153设计出一个16选1的数据选择器。 (3) 用双4选1数据选择器74LS153 设计出一个全加法器。 二、实验设备 数字电路实验箱,74LS00,74LS153。 三、实验内容 (1) 测试双4选1数据选择器74LS153的逻辑功能。 74LS153含有两个4选1数据选择器,其中A 0和A 1为芯片的公共地址输入端,V cc 和GND 分别为芯片的公共电源端和接地端。Figure1为其管脚图: Figure 1 1Q =A 1A 0???????1D 0+A 1???A 0?1D 1+A 1A 0????1D 2+A 1A 0?1D 3 2Q =A 1A 0???????2D 0+A 1???A 0?2D 1+A 1A 0????2D 2+A 1A 0?2D 3 按下图连接电路: Figure 2

(2) 设某一导弹发射控制机构有两名司令员A、B和两名操作员C、D,只有当两名司令员均同意发射导弹攻击目标且有操作员操作,则发射导弹F。利用所给的实验仪器设计出一个符合上述要求的16选1数据选择器,并用数字电路实验箱上的小灯和开关组合表达实验结果。 思路: 由于本实验需要有四个地址输入端来选中16个数据输入端的地址之中的一个,进而实现选择该数据输入端中的数据的功能,即16选1。而公共的A0、A1两个地址输入端和S使能端(用于片选,已达到分片工作的目的,进而扩展了一位输入)一共可以提供三个地址输入端,故需要采用降维的方法,将一个地址输入隐藏到一个数据输入端D x中。本实验可以降一维,也可以降两位。由于两位比较复杂,本实验选择使用降一维的方式。 做法: 画出如应用题中实现所需功能的卡诺图: 将D降到数据输入端中。对应的卡诺图如下: 按上述卡诺图连接电路,用开关控制送给各输入高低电平。其中,“1”表示高电平,“0”表低电平,均由开关上下拨动来控制;A、B、C、D分别为题中的两个司令员的同意情况和两个操作员的操作情况;F为导弹发射情况,将F接到小灯上即可。电路如Figure 3所示(图中C x即D x,后面的图均为如此):

电压比较器实验报告

`实验报告 课程名称: 电路与电子技术实验 指导老师: 周箭 成绩: 实验名称: 电压比较器及其应用 实验类型: 电子电路实验 同组学生姓名: 邓江毅 一、实验目的 二、实验内容 三、主要仪器设备 四、实验数据记录、处理与分析 五、思考题及实验心得 一、实验目的 1.了解电压比较器与运算放大器的性能区别; 2.掌握电压比较器的结构及特点; 3.掌握电压比较器电压传输特性的测试方法; 4.学习比较器在电路设计中的应用。 二、实验数据记录、处理与分析 ① 【过零电压比较器电路】 过零电压比较器是电压比较电路的基本结构,它可将交流信号转化为同频率的双极性矩形波。常用于测量正弦波的频率相位等。当输入电压 时,输出;反之,当输入电压时,输 出 。 实验仿真: 专业:电气工程卓越人才 姓名: 卢倚平 学号: 3150101215 日期: 4.1 地点: 东3 404

85 实测实验记录: 由于时间不足,没有做过零比较器的相关实测 ②【基本单门限比较器电路】 单门限比较器的输入信号Vin 接比较器的同相输入端,反相输入端接参考电压Vref(门限电平)。当输入电压Vin>Vref 时,输出为高电平VOH;当输入电压Vin

:数据选择器设计(实验报告)

实验报告 一、实验目的 熟悉QuartusⅡ的VHDL文本设计流程全过程,学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。 二、实验设备 GW48系列SOPC/EDA实验开发系统实验箱一台计算机一台 三、实验内容 1首先利用QuartusⅡ完成2选1多路选择器的文本编辑输入(mux21a.vhd)和仿真测试等步骤,给出下图所示的仿真波形。最后在实验系统上进行硬件测试,验证本项设计的功能。 源程序为: ENTITY mux21a IS PORT ( a, b, s: IN BIT; y : OUT BIT ); END ENTITY mux21a; ARCHITECTURE one OF mux21a IS BEGIN PROCESS (a,b,s) BEGIN IF s = '0' THEN y <= a ; ELSE y <= b ; END IF; END PROCESS; END ARCHITECTURE one ;

图(1 ) 2选1多路选择器的编译图 、图(2) 功能仿真的波形图 图(3 ) 功能引脚图

图(4) 2选1多路选择器的RTL电路 2. 将此多路选择器看成是一个元件mux21a,利用元件例化语句描述图,并将此文件放在同一目录中。并对上例分别进行编译、综合、仿真,并对其仿真波形做出分析说明。以下是程序: 图(5) 双二选一多路选择器的编译图

图(6) 双路数据选择器功能仿真图 图(7) 双二选一多路选择器的引脚锁定图图 5 双2选1多路选择器 图(8) 双路数据选择器RTL电路图

图(9) 编程下载图 3.、引脚锁定以及硬件下载测试。若选择目标器件是EP1C3,建议选实验电路模式5,用键1(PIO0,引脚号为1)控制s0;用键2(PIO1,引脚号为2)控制s1;a3、a2 和a1 分别接clock5(引脚号为16)、clock0(引脚号为93)和clock2(引脚号为17);输出信号outy仍接扬声器spker(引脚号为129)。通过短路帽选择clock0接256Hz信号,clock5 接1024Hz,clock2 接8Hz 信号。最后进行编译、下载和硬件测试实验(通过选择键1、键2,控制s0、s1,可使扬声器输出不同音调)。 图(10) 实验电路模式5

数字电路实验报告——数据选择器

第八次实验报告 实验六 数据选择器 一、实验目的要求 1、 熟悉中规模集成电路数据选择器的工作原理与逻辑功能 2、 掌握数据选择器的应用 二、实验仪器、设备 直流稳压电源、电子电路调试器、T4153、CC4011 三、实验线路、原理框图 (一)数据选择器的基本原理 数据选择器是常用的组合逻辑部件之一,它有若干个输入端,若干个控制输入端及一个输出端。 数据选择器的地址变量一般的选择方式是: (1) 选用逻辑表达式各乘积项中出现次数最多的变量(包括原变量与反变量),以简 化数据输入端的附加电路。 (2) 选择一组具有一定物理意义的量。 (二)T4153的逻辑符号、逻辑功能及管脚排列图 (1)T4153是一个双4选1数据选择器,其逻辑符号如图1: 图1 (2) T4153的功能表如下表 其中D0、D1、D2、D3为4个数据输入端;Y 为输出端;S 是使能端,在S 是使能端,在 原SJ 符号

S =0时使能,在S =1时Y=0;A1、A0是器件中两个选择器公用的地址输入端。该器件的 逻辑表达式为: Y=S (1A 0A 0D +101D A A +201D A A +301A A A ) (3) T4153的管脚排列图如图2 图2 (三)利用T4153四选一数据选择器设计一个一位二进制全减器的实验原理和实验线路 (1)一位二进制全减器的逻辑功能表见下表: n D =n A n B 1-n C +n A n B 1-n C +n A n B 1-n C +n A n B 1-n C n C =n A n B 1-n C +n A n B 1-n C +n A n B 1-n C +n A n B 1-n C =n A n B 1-n C +n A n B +n A n B 1-n C (3)根据全减器的逻辑功能表设计出的实验线路图为图3: S 11D 3 1D 2 1D 1 1D 0 1Y

模电实验五 电压比较器实验

实验五电压比较器实验 一、实验目的 熟练掌握用运算放大器构成比较器电路的特点。 学会测试比较器的方法。 二、实验设备 1.TX0833 19电源板(±15v) 2.双踪示波器 3.TX0531 29多功能信号发生器 4.交流毫伏表 5.TX0531 18直流电压表 6.TX0833 04运算放大器实验板 7.TX0533 25双路直流稳压电源 三、实验内容 1.过零电压比较器。 (1)按图5-1联接好过零电压比较器电路。 (2)测量u i未输入信号且悬空时的u O值。 (3)u i输入f=500Hz,幅值为2V的正弦信号,用双踪示波器观测u i、u O的波形,并将其记入表5-1 表5-1 f=500Hz u i=2V (4)改变输入信号u i的幅值,可由双路可调稳压电源提供下面表5-2的一组u i的电平值,测量传输特性曲线,并将其记入表5-2,并将曲线描绘于下面的直角坐标中。 表5-2 *(5)如果a,b端跨接稳压管,或b端对地接稳压管,其传输特性曲线如何?可用示波器观察并记录。此实验参考电路如图5-2

2.任意电平比较器。 u OH = +15V u OL = -15V 按图5-3联接好任意电平的比较器电路。 令u R =2V ,按表5-3,使u i 为表中所列的一组电压数值,测u O 的电压数值,将其记入表5-3 令u R =-2V ,按表5-3,使u i 为表中所列的一组电压数值,测u O 的电压数值,将其记入表5-3 表5-3 (1)按图5-4联接好滞后电压比较器。 (2)按照前面的比较器实验经验,自行构思,并用示器来观测,不难发现滞后电压比较器为一具有上、下门限电平的比较器。这里提供给大家上、下门限值的计算公式,供实验中参考。 当输出电压为u OH 时,同相端的电压为2 12f f OH R f f R R V V V R R R R '=?+?++(上门限)

模电实验报告 九 电压比较器

模电实验报告 实验 集成运放基本应用电压比较器 姓名: 学号: 班级: 院系: 指导老师: 2016年月日星期

目录 实验目的: (2) 实验器件与仪器: (2) 实验原理: (3) 实验内容: (4) 实验:集成运放基本应用电压比较器 实验目的: 1.掌握比较器的电路构成及特点。 2.学会测试比较器的方法。 实验器件与仪器:

实验原理: 电压比较器的功能是比较两个电压的大小。例如,将一个信号电压Ui和另一个参考电压Ur进行比较,在Ui>Ur和Ui0时,Uo为低电平 Ui<0时,Uo为高电平

电压传输特性曲线 2、滞回电压比较器 滞回电压比较器是由集成运放外加反馈网络构成的正反馈电路,Ui为信号电压,Ur为参考电压值,输出端的稳压管使输出的高低电平值为±Uz。 电压传输特性曲线 可以看出,当输入电压从低逐渐升高或从高逐渐降低经过0电压时,Uo会从一个电平跳变为另一个电平,称0为过零比较器的阈值。阈值定义为当比较器的输出电平从一个电平跳变到另一个电平时对应的输入电压值。 实验内容: 1.过零比较器

(1)按图接线Vi悬空时测Vo的电压。 实验测得Vi悬空时测Vo的电压为3.8154V。 (2) Vi输入500HZ有效值为1V的正弦波,观察Vi和Vo波形并记录。 (3)改变Vi幅值,观察Vo变化。 增大Vi值测得Vi和Vo波形如下: 当Ui<0时,由于集成运放的输出电压Uo’=+Uom,使稳压管D2工作在稳压状态,所以输出电压Uo=Uz;当Ui>0时,由于集成运放的输出电压Uo’=-Uom,使稳压管D1工作在稳压状态,所以输出电压Uo=-Uz。 2.反相迟滞比较器

位数值比较器设计

令狐采学创作 电子技术课程设计报告 令狐采学 题目:4位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师: 机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1 课程设计的任务 采用Multisim 12.0软件实现4位数值比较器的设计与仿真。 1.2 课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74Ls85集成数值比较器。

(3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。从A的最高位A3和B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2=B2,余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道:FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1 +FA3=B3FA2=B2FA1=B2FA0>B0+FA3=B3FA2=B2FA1=B1 FA0=B0IA>B (2-1) FAB、IAB、IAB=IA

数据选择器_Mutisim仿真实验报告

电气工程学院电工电子教学基地 数字电子技术实验 实验报告 仿真实验1 用数据选择器设计函数发生器 学号:18291035 姓名:陈涟漪 班级:电气1802 成绩: 指导教师:周晖 完成时间:2020年5 月15 日

数字电子技术实验 仿真实验1 用数据选择器设计函数发生器 1 实验任务 使用数据选择器74LS151和适当门电路设计一函数发生器,能够实现4位二进制码数据范围指示功能。要求该函数发生器能区别以下三种情况: (1)0≤X≤4; (2)5≤X≤9; (3)10≤X≤15。 2 实验电路

3 实验步骤 采用A、B、C、D取0或1依次表示这四位二进制码的从高到低位的取值(例如:A=0,B=1,C=0,D=0表示四位二进制码0100)。则对于第一组来说,共有5个四位二进制码包含在其中,用卡诺图表示如下: 化简即得: 同理,也有5个数包含在第二组中,卡诺图如下: 化简即得: 第三组包含了6个数,卡诺图如下:

化简即得: 对以上三个式子都去两次非并利用摩根定律可得: 这样就完成了该问题的逻辑转化。 根据前面对该实验分析所得到的逻辑表达式可以发现,输入变量为A、B、C、D,但是在后面的逻辑运算中它们的“非”都用到了,也就是第一步我们要得到这四个变量的非。然后再进行后面的与非运算。三个输出变量的状态也可以用三个灯泡来表示,这里采用了三个颜色不同的灯泡用以区分。到这里,逻辑图就可以很容易的用Multisim软件模拟出来。 其中,最上面的X1灯泡亮时,表示输入数字在0≤X≤4范围内,X2亮时表示输入数字在5≤X≤9范围内,X3亮时表示输入数字在10≤X≤15范围内。这里还是用了四个开关,每个开关“开”表示1,“关”表示0,四个开关以ABCD的顺序来表示四位二进制数。四位二进制数同上,也有16中情况,这里不做一一展示,只对每一类给出一种模拟结果。

实验十二 电压比较器

实验十二电压比较器 学院:信息科学与技术学院专业:电子信息工程 姓名:刘晓旭 学号:2011117147

一.实验目的 1.掌握电压比较电路的分析及计算 2.学会测试电压比较器的方法 二.实验仪器 双踪示波器,信号发生器,数字发生器,直流电源 三.预习要求 1.复习电压比较器的工作原理 2.计算图1实验电路的阈值,画出电路的电压传输特性曲线 3.分析各实验电路,画出当输入为正弦波时的输出波形图。 4.根据实验内容自拟实验数据记录表格。 四.实验原理 电压比较器(通常称为比较器)的功能是比较两个电压的大小。例如,将 一个信号电压u i 和另一参考电压U R 进行比较,在u I >U R 和u I 0 时,u o 为低电平 u i < 0 时,u o 为高电平 集成运放输出的高低电平值一般为最大输出正负电压值U 0m 。 图1.过零比较器

2.滞回电压比较器 滞回电压比较器是由集成运放外加反馈网络构成的正反馈电路,如图 2 所示。 u i 为信号电压,U R 为参考电压值,输出端的稳压管使输出的高低电平值为±U Z 。可以看出,此电路形成的反馈为正反馈电路。 图2反相滞回电压比较器 电压比较器的特性可以用电路的传输特性来描述,它是指输出电压的关系曲线,如图1(b)为过零比较器的电压传输特性曲线。 可以看出,当输出电压从低逐渐升高或从高逐渐降低讲过0电压时,u o 会从一个电平跳变为另一个电平,称0为过零比较器的阈值。阈值定义为当比较器的输出电平从一个电平跳变到另一个电平时对应的输入电压值。 滞回电压比较器的电压传输特性曲线如图2(b)所示。 曲线表明,当输入电压由低向高变化,经过阈值U TH1时,输出电平由高电平跳变为低电平。 3 221 R R U R U Z TH += 当输入电压从高向低变化经过阈值U TH2时,输出电压由低电平跳变为高电平, 3 222R R U R U Z TH +-= 3.电压比较器的测试 测试过零比较器时,可以用一个低频的正弦信号输入至比较器中,直接用双踪示波器监视输出和输入波形,当输入信号幅度适中时,可以发现输入电压大于0,小于0时,输出的高低电平变化波形,即将正弦波变换成方波。 滞回电压比较器测试时也可以用同样的方法,但是在示波器上读取上下阈值

实验三选数据选择器实验报告

实验三选数据选择器实 验报告 集团公司文件内部编码:(TTT-UUTT-MMYB-URTTY-ITTLTY-

实验三、八选一数据选择器 一、实验目的: 1.熟悉Quartus II6.0软件的使用和FPGA设计流程 2.用VHDL语言进行八选一数据选择器的设计 二、实验步骤: 一.建立文件夹:在D盘“xingming”的文件夹下建立一个名为“choice8”的文件夹。 二.建立新工程 1.双击桌面上Quartus II6.0 的图标,启动该软件。 2.通过File => New Project Wizard… 菜单命令启动新项目向导。在 随后弹出的对话框上点击Next按钮,在 What is the working directory for this project 栏目中设定新项目所使用的路径:D:\xingming\choice8;在 What is the name of this project 栏目中输入新项目的名字:choice8,点击 Next 按钮。在下一个出现的对话框中继续点击Next,跳过这步。 3.为本项目指定目标器件:选择器件系列为ACEX1K ,选择具体器件为 EP1K30TC144-3 1728 24576 ,再点击Next。在弹出的下一对话框中继续点击Next ,最后确认相关设置,点击Finish按钮,完成新项目创建。 三.设计输入 1.建立一个VHDL文件。通过 File => New 菜单命令,在随后弹出的对 话框中选择 VHDL File选项,点击 OK 按钮。通过 File => Save As 命令,将其保存,并加入到项目中。 2.在VHDL界面输入8选1数据选择器程序,然后通过File => Save

电压比较器实验报告

实验报告 课程名称:电路与电子技术实验指导老师:成绩: 实验名称:电压比较器及其应用实验类型:电子电路实验同组学生姓名: 一、实验目的二、实验内容 三、主要仪器设备四、实验数据记录、处理与分析 五、思考题及实验心得 一、实验目的 1.了解电压比较器与运算放大器的性能区别; 2.掌握电压比较器的结构及特点; 3.掌握电压比较器电压传输特性的测试方法; 4.学习比较器在电路设计中的应用。 二、实验内容及原理 实验内容 1.设计过零电压比较器电路,反相输入端接地,同相输入端接1kHz、1V正弦波信号,测量并绘制输出 波形和电压传输特性曲线。 2.设计单门限电压比较器电路,同相输入端接1V直流电压,反相输入端接1kHz、1V正弦波信号,测 量3.并绘制输出波形和电压传输特性曲线。 4.设计反相输入(下行)滞回电压比较器,反相输入端接1kHz、1V正弦波信号,测量并绘制输出波形 和电压传输特性曲线。 5.设计窗口电压比较器电路,输入为1kHz、5V三角波信号,设置参考电压Vref1为1V直流电压,参 考电压Vref2为4V直流电压,测量并绘制输出波形和电压传输特性曲线。 6.设计三态电压比较器电路,输入电压信号Vin为1kHz、5V三角波信号,当输入Vin

实验三8选1数据选择器实验报告

实验三、八选一数据选择器 一、实验目的: 1.熟悉Quartus II6.0软件的使用和FPGA设计流程 2.用VHDL语言进行八选一数据选择器的设计 二、实验步骤: 一.建立文件夹:在D盘“xingming”的文件夹下建立一个名为“choice8” 的文件夹。 二.建立新工程 1.双击桌面上Quartus II6.0 的图标,启动该软件。 2.通过File => New Project Wizard… 菜单命令启动新项目向导。在随后弹 出的对话框上点击Next按钮,在 What is the working directory for this project 栏目中设定新项目所使用的路径:D:\xingming\choice8;在What is the name of this project 栏目中输入新项目的名字:choice8,点击 Next 按钮。在下一个出现的对话框中继续点击Next,跳过这步。 3.为本项目指定目标器件:选择器件系列为ACEX1K ,选择具体器件为 EP1K30TC144-3 1728 24576 ,再点击Next。在弹出的下一对话框中继续点击Next ,最后确认相关设置,点击Finish按钮,完成新项目创建。 三.设计输入 1.建立一个VHDL文件。通过 File => New 菜单命令,在随后弹出的对话框中 选择 VHDL File选项,点击 OK 按钮。通过 File => Save As 命令,将其保存,并加入到项目中。 2.在VHDL界面输入8选1数据选择器程序,然后通过File => Save As 命 令保存。

四.综合适配 1.选择Processing =>Start Compilation命令,检查发现无程序语法错误。 2.执行Tools =>Netlist Viewer =>RTL Viewe, 生成RTL图。 五.模拟仿真 1.在 File 菜单下,点击 New 命令。在随后弹出的对话框中,切换到 Other Files 页。选中 Vector Waveform File 选项,点击 OK 按钮。 2.选择命令 Edit=>End Time ,时间设置为30000ns , 进入到波形编辑界 面。在 Edit 菜单下,点击Insert Node or Bus… 命令,或在结点名字区连续双击鼠标左键两次,在新出现的框图中点击Node Finder出现结点查找器窗口搜索结点名, 在上一个框图中点击 Node Finder… 按钮后,打开Node Finder 对话框。点击 List 按钮,列出电路所有的端子。点击 >> 按钮,全部加入。点击 OK 按钮,确认。 3.回到 Insert Node or Bus 对话框,点击 OK 按钮,确认。 4.编辑输入激励信号波形.选中 a 信号,在 Edit 菜单下,选择 Value => Clock… 命令。在随后弹出的对话框的 Period 栏目中设定参数为10ns,点击 OK 按钮,重复前面的操作,设置输入信号b的参数为20ns ,c信号的参数为30ns,d信号的参数为40ns,e信号的参数为50ns,f信号的参数为60ns,g信号的参数为70ns,h信号的参数为80ns,输入激励信号波形编辑完毕。同样的,s[0]、s[1]、s[2]的参数分别设置为10ns、20ns、30ns。 5.功能仿真:(1)Processing=>Generate Functional Simulation Netlist

四位数值比较器

四 位 数 值 比 较 器 班级:电子信息工程(2)班姓名:林贤款 学号:Xb13610208 时间:2015.12—2015.12

一、实验目的。 1、设计四位二进制码比较器,并在QuantusII上进行仿真。 2、掌握VHDL设计实体的基本结构及文字规则。 二、实验要求。 1、用VHDL语言编写四位二进制码比较器的源文件; 2、对设计进行仿真验证; 三、实验原理。本实验实现要实现两个4位二进制码的比较 器。即当输入为两个4位二进制码和时, 输出为M(A=B),G(A>B)和L(AB时,G处接的二极管亮;当A

五、实验步骤。 1、打开软件。 快捷工具栏:提供设置(setting),编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。 菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。 信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。

2、新建工程。 (1)选择File菜单下New Project Wizard。 (2)输入工作目录和项目名称。 (3)加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入。 (4)选择设计器件。 (5)选择第三方EDA综合、仿真和时序分析工具。 (6)建立项目完成,显示项目概要。

译码器和数据选择器实验报告

译码器和数据选择器 12级电子信息工程20121060192 朱加熊 实验目的 1、熟悉集成译码器和数据选择器。 2、掌握集成译码器和数据选择器的应用。 3、学习组合逻辑电路的设计。 实验仪器及材料 1、双踪示波器 2、器件: 74LS00 二输入端四“与非”门1片 74LS20 四输入端双“与非”门1片 74LS139 双2-4先译码器1片 74LS153 双4选1数据选择器1片 实验内容 1、译码器逻辑功能测试 将74LS139译码器按图3.1接线,按表3.1分别置位输入电平,填输出状态表。

仿真结果Y0 Y1

Y2 Y3

2、译码器转换 将双2-4线译码器转换为3-8译码器。 (1)、画出转换电路图。 (2)、在试验箱上接线并验证设计是否正确。 (3)、设计并填写该3-8线译码器逻辑功能表,画出输入、输出波形。 电路图

逻辑功能表 注:表中Y=Yi 表示Yi=0,其余输 出值为1 3、数据选择器的测试及应 用 (1)、将双4选1数据选择器74LS153参照图3.2接线,测试其逻辑功能并填写功能表3.2. A B C Y 0 0 0 Y0 0 1 Y1 0 1 0 Y 2 0 1 1 Y 3 1 0 0 Y 4 1 0 1 Y 5 1 1 0 Y 6 1 1 1 Y7

(2)、将试验箱上4个不同频率的脉冲信号接到数据选择器4个输入端,将选择端置位,使输入端分别观察到4种不同频率的脉冲信号。 (3)、分析上述实验结果并总结数据选择器的作用。 逻辑功能表 输出控制选择端数据输入端输出 E A1 A2 D3 D2 D1 D0Y H X X X X X X L L L L X X X L L L L L X X X H H L L H X X L X L L L H X X H X H L H L X L X X L L H L X H X X H

相关文档
相关文档 最新文档