文档库 最新最全的文档下载
当前位置:文档库 › 实训项目篮球记分牌单片机设计

实训项目篮球记分牌单片机设计

实训项目篮球记分牌单片机设计
实训项目篮球记分牌单片机设计

单片机课程设计报告书

课题名称 篮球赛计时计分电路 姓 名

学 号 院 系 通信与电子工程学院 专 业

电子信息工程

※※※※※※※※※ ※※ ※

※ ※

※※※※※

※※※※ 2009级学生单片机 课程设计

指导教师

2012年6 月14日

篮球计时计分电路课程设计

(湖南城市学院通电与电子工程学院电子信息工程专业,益阳,413000)

1 设计任务

设计目的

1. 了解计时计分器组成及工作原理。

2. 进一步掌握计时计分器的设计方法

3. 进一步掌握芯片的逻辑功能及使用方法。

4. 熟悉集成电路的引脚安排.。

设计指标

设计一个单片机系统用于篮球比赛计时计分,满足以下功能要求:(1)能记录整个赛程的比赛时间,并能修改比赛时间、暂停比赛时间。

(2)能随时刷新甲、乙两队在整个比赛过程中的比分。

(3)中场交换比赛场地时,能交换甲、乙两队比分的位置。

(4)比赛结束时,能发出报警声。

设计要求

1.画出总体设计框图,以说明计时计分器独立的功能模块组成,标出各个模块之间互相联系。

2.设计各个功能模块的电路图,加上原理说明。

3.选择合适的元器件,在面板上接线验证、调试各个功能模块的电路,在接线验证时设计、选择合适的输入信号和输出方式,在确定电路充分正确性同时,输入信号和输出方式要便于电路的测试和故障排除。

4.在验证各个功能模块基础上,对整个电路的元器件和布线进行合理布局,进行整个计时计分电路的接线调试。

2、设计思路与总体框图.

本设计是采用AT89C52单片机为核心设计的一个用于赛场的篮球计时计分器。本设计采用定时器T0中断计时,显示部分分为计时和计分显示两部分,均采用共阴极LED显示。单片机P3口(——)是计时计分显示共用的扫描口,P0口是计时数据输出口,P2口是计分数据输出口。计时部分计时范围宽,而且可定时设定与小时、分钟调整;计分部分调整灵活,显示范围宽,足以满足各种规模赛程需要。两个显示模块均采用动态扫描方式显示。

图1所示为计时计分器的总体框图。

▲图 1 计时计分器结构框图

3、系统硬件电路的设计

、时钟电路

MCS-51内部有一个用于构成振荡器的高增益反相放大器,此放大器的输入和输出端分别是引脚XTAL1和XTAL2,在XTAL1和XTAL2上外接时钟源即可构成时钟电路。有内部和外部两种时钟产生方式。本设计采用的是外部方式。

、复位电路

复位电路的基本功能是:系统上电时提供复位信号,直至系统电源稳定后,撤销复位信号。为可靠起见,电源稳定后还要经一定的延时才撤销复位信号,以防电源开关或电源插头分-合过程中引起的抖动而影响复位。上电复位设利用电容充电来实现的,即上电瞬间RST/Vpd 端的电位与Vcc相同,随着充电电流的减少,RST/Vpd的电位下降,最后被嵌位在0V。按钮电平复位是当按下按钮后,电源Vcc通过电阻R施加在单片机复位端RST/Vpd上,实现单片机复位。本设计采用的是上电+按钮电平复位电路,是上电自动复位与按钮电平复位电路的组合,当振荡频率选时。电容C取10uF,R取10K,Rs取1K。

、按键控制电路

设计采用的是用查询方式的,也就是把按键接在P1口,用程序查询方式查询那个按键按

、数码管显示电路

数码管实际上是由7个发光管组成8字形构成的,加上小数点就是8个。我们分别把他命名为A,B,C,D,E,F,G,H。假设我们要显示一个数字2, 那么A、B、G、E、D这5个段的发光管亮就可以了,C、F、H不亮,同时由于接法为共阳接法,那么为低电平是亮,为高电平是灭。从高往低排列,写成二进制为01111110,把他转化为16进制则为A2H。

本设计采用的是数码管动态显示。

、定时报警电路

定式报警电路

4、软件设计

、程序流程图

篮球比赛中,得分可分为1分、2分、3分三种情况,但为了方便我们在这只设置加1分,得2分、3分的情况可用按2次、3次加1分得到。还设置了定是报警键。根据这样的设计要求,结合数码管的显示以及52单片机中计数器的工作实现方式、接口应用、中断控制等可设计出程序流程图如下:

、程序分析

本设计采用AT89S52单片机中的一个16位的定时/计数器T0,分别由TH0和TL0组成,它们均是8位寄存器,在特殊功能寄存器中占地址8AH-8DH。它们用于存放定时或计数的初始值。此外,内部还有一个8位的方式寄存器TMOD和一个8位的控制寄存器TCON。用于选择和控制定时/计数器的工作。

5程序设计仿真

在计算机中打开PROTEUS软件,建立新的项目,在元件库中选择相应的元件,放在编辑窗口中,依次连线,组成仿真原理图。

计时工作仿真状态图:

1.根据原理和芯片引脚图,分功能设计原理图,并根据接线顺序分步骤验证。

2.容易出现故障为接触不良。

a)集成块引脚方向预先弯好对准面板的金属孔,再小心插入。

b)导线的剥线长度与面包板的厚度相适应(比板的厚度稍短)。

c) 导线的裸线部分不要露在板的上面,以防短路。

d)导线要插入金属孔中央。

3.注意芯片的控制引脚必须正确接好

4.检查故障时除测试输入、输出信号外,要注意电源、接地和控制引脚。

5.要注意芯片引脚上的信号与面包板上插座上信号是否一致(集成块引脚与面包板常接触不良)。

体会

这次课程设计历时二个星期左右,通过这两个星期的学习,发现了自己的很多不足,自己知识的很多漏洞,看到了自己的实践经验还是比较缺乏,理论联系实际的能力还急需提高。回顾起此次单片机课程设计,我仍感慨颇多,的确,从选题到定稿,从理论到实践,在接近两星期的日子里,可以说得是苦多于甜,但是可以学到很多很多的的东西,同时不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固,比如说不懂一些元器件的使用方法,对C语言程序设计掌握的不够……通过这次课程设计之后,一定把以前所学过的知识重新温故。

7.参考文献:

附1

/****************************************************************************** *

程序名:篮球记分牌测试程序

编辑时间:2012-06-14

编辑者:

修改时间:

硬件平台:MCU:At89C51 晶振:12MHz

硬件连接:

功能说明:按下K1键,甲队加1分按下K2键,乙队加1分按下K5交换记分牌按下K3键,开始/停止比赛按下K4:调整比赛时间

第1~4位数码管为比赛时间的分和秒,第5~8位数码管分别为两队的分数测试结果:OK

注意:

******************************************************************************* /

//头文件

#include<>

#include<>

#include""

#include""

#include""

//变量定义

unsigned char disp_buff1[4]={1,2,0,0}; //比赛时间显示缓冲区

unsigned char disp_buff2[4]={0}; //两队得分显示缓冲区

unsigned char minute=12,second=0; //比赛时分秒

unsigned char score1=0,score2=0; //两队的比赛得分

bit volatile finish_flag=0; //比赛完成标志位

unsigned char num=0; //定时累加计数

unsigned char key=0; //保存键值

//定时器T0初始化函数

void initial()

{

TMOD=0x80;

TH0=(65536-10000)/256;

TL0=(65536-10000)%256;

EA=1;

ET0=1;

TR0=1;

}

/****************************************************************************** ***

函数名:数码管显示缓冲区数组处理函数

入口参数:无

出口参数:无

******************************************************************************* **/

void dispose(void)

{

disp_buff1[0]=minute/10; //分钟的十位

disp_buff1[1]=minute%10; //分钟的个位

disp_buff1[2]=second/10; //秒钟的十位

disp_buff1[3]=second%10; //秒钟的个位

disp_buff2[0]=score1/10; //甲队得分的十位

disp_buff2[1]=score1%10; //甲队得分的个位

disp_buff2[2]=score2/10; //乙队得分的十位

disp_buff2[3]=score2%10; //乙队得分的个位

}

//主函数

void main(void)

{

unsigned char temp;

initial(); //定时器T0初始化

while(1)

{

key=key_scan(); //按键扫描

switch(key)

{

case 1:score1++; //K1按下,甲队加1分

if(score1==100) score1=99;

break;

case 2:score2++; //K2按下,乙队加1分

if(score2==100) score2=99;

break;

case 5:temp=score1; //K5按下,交换记分牌

score1=score2;

score2=temp;

break;

case 3:TR0=~TR0; //K3按下,停止或开始比赛

break;

case 4:if(TR0==0) //停止比赛时,按下K4键可以调整比赛时间

{

minute++;

if(minute==60)

minute=0;

}

break;

default:break;

}

dispose();

diaplay(disp_buff1,disp_buff2);

if(finish_flag)

{

P1^=0x08;

minute=second=0;

}

}

}

//定时器T0中断服务函数

void T0_time()interrupt 1

{

TH0=(65536-20000)/256;

TL0=(65536-20000)%256;

num++;

if(num==250)

{

num=0;

if(second==0)

{

if(minute==0) //比赛时间到,则停止计时

{

TR0=0;

finish_flag=1;

}

else

{

second=60;

minute--;

}

}

second--;

}

}

/*****************************************************************************程序名:8位共阳七段数码管动态显示程序

编辑时间:2012-06-14

编辑者:x_z_y

Q Q:6

修改时间:

硬件平台:MCU:At89c51 晶振:12MHz 8位共阳七段数码管

硬件连接:第1~4位数码管段选————P0 位选————P3^0,P3^1,P3^2,P3^3 第5~8位数码管段选————P2 位选————P3^0,P3^1,P3^2,P3^3

注意:

*****************************************************************************/

//头文件

#include<>

#include<>

#include""

#include""

//变量定义

unsigned char code disptable[]={ 0x3f,0x06,0x5b,0x4f,

0x66,0x6d,0x7d,0x07,

0x7f,0x6f,0x77,0x7c,

0x39,0x5e,0x79,0x71

}; //定义0~F所对应的十六进制数组disptable

/****************************************************************************函数名:一位数码管显示函数

入口参数:unsigned char num 对应的第几个数码管

unsigned char dat1,dat2 对应要显示的数

出口参数:无

****************************************************************************/ void seg_display(unsigned char num,unsigned char dat1,unsigned char dat2)

{

P3&=0xf0; //消影

P0=~disptable[dat1]; //第1~4位数码管段选

P2=~disptable[dat2]; //第5~8位数码管段选

P3=_cror_(0x08,num); //位选

}

/****************************************************************************函数名:八位数码管显示函数

入口参数:unsigned char *disp_buff1,*disp_buff2 对应要显示的数缓冲数组指针

出口参数:无

****************************************************************************/ void diaplay(unsigned char *disp_buff1,unsigned char *disp_buff2)

{

unsigned char i;

for(i=0;i<4;i++) //循环扫描数码管

{

seg_display(i,disp_buff1[i],disp_buff2[i]);

delay_ms(3);

}

}

/**************************************************************

程序名:STC89C52RD+ 四个独立按键的程序

编辑时间: 2012-06-14

编译环境:keil c

硬件平台:STC89C52RD+单片机,晶振四个独立按键

功能描述:sbit K1=P1^0; //K1——甲对加分键

sbit K2=P1^1; //K2——乙队加分键

sbit K3=P1^2; //K3——开始/停止比赛

sbit K4=P1^5; //K4——调整比赛时间

sbit K5=P1^6; //K5——甲乙队交换记分牌

注意:

***************************************************************/

//头文件

#include<>

#include""

#include""

//硬件定义

sbit K1=P1^0; //K1——甲对加分键

sbit K2=P1^1; //K2——乙队加分键

sbit K3=P1^2; //K3——开始/停止比赛

sbit K4=P1^5; //K4——调整比赛时间

sbit K5=P1^6; //K5——甲乙队交换记分牌

/****************************************************************************** *********

函数名:三个独立键盘扫描函数

函数入口:无

函数出口:无

******************************************************************************* ********/

unsigned char key_scan(void)

{

unsigned char key=0;

if(K1==0) //甲对加分键

{

delay_ms(2);

if(K1==0)

{

while(K1==0);

key=1;

}

}

else if(K2==0) //乙队加分键

{

delay_ms(2);

if(K2==0)

{

while(K2==0);

key=2;

}

}

else if(K3==0) //开始/停止比赛

{

delay_ms(2);

if(K3==0)

{

while(K3==0);

key=3;

}

}

else if(K4==0) //调整比赛时间

{

delay_ms(2);

if(K4==0)

{

while(K4==0);

key=4;

}

}

else if(K5==0) //甲乙队交换记分牌

{

delay_ms(2);

if(K5==0)

{

while(K5==0);

key=5;

}

}

return key;

}

//********************延时函数*******************************

//头文件

#include <>

#include <>

#include ""

//延时xus的函数

void delay_us(unsigned int xus)

{

while(xus--)

_nop_();

}

//延时xms的函数

void delay_ms(unsigned int xms)

{

while(xms--)

delay_us(1);

}

#ifndef __DISPLAY_H__

#define __DISPLAY_H__

void seg_display(unsigned char num,unsigned char dat1,unsigned char dat2);//一位数码管显示函数

void diaplay(unsigned char *disp_buff1,unsigned char *disp_buff2);//八位数码管显示函数

#endif

#ifndef __DELAY_H__

#define __DELAY_H__

extern void delay_us(unsigned int xus); //微秒延时

extern void delay_ms(unsigned int xms); //毫秒延时

#endif

篮球赛记分牌设计分析

篮球赛记分牌设计 前言 篮球是一项充满乐趣的运动,打篮球不仅可以强身健体,而且能增进队友之间的相互信任。现在篮球普及率已经很高,打篮球不仅仅是专业运动员的运动,也是普通老百姓的活动,不管你是走在学校里,还是公园里,或者是小区里,都能看到篮球场,都能看到打篮球的人,篮球已成为我们大部分人生活里不可缺少的一部分,每天都能听到有人在谈论篮球,说说自己喜欢的NBA巨星……因为喜欢篮球,所以打篮球,时不时会来场激烈的比赛,但是传统的记分方式如粉笔或记计分人员手动翻动记分牌给我们带来诸多不便。随着社会的发展、科学技术的进步以及人们生活水平的不断提高,各种电子产品开始进入人们的生活,使人们的生活更加便利。篮球赛记分牌设计的实现使得我们轻轻松松几个按钮开关就能记下分数,让我们感受到科技给我们带来的巨变。 篮球比赛的胜负是由两支队伍在规定时间里得分多少来决定。由于比赛的不可重复性和绝对的公平性,决定了篮球赛记分牌系统是一个实用性很强、可靠性要求极高的以计算机技术为核心的电子服务系统。这种设备是篮球比赛中不可或缺的一种电子设备,篮球赛记分牌系统设计是否合理,关系到整个篮球比赛系统运行的稳定和可靠,并直接影响到整个篮球比赛的顺利进行。篮球赛记分牌系统是篮球比赛的重要工程项目,是关系到比赛成败的关键工程,这种记分牌还可以运用到其他体育竞赛,如足球、羽毛球。 1 系统总体设计方案 随着单片机[1]广泛应用于各领域,许多用单片机作控制的球赛记时记分系统也随之产生,例如用单片机控制LCD液晶显示器记时记分器和用单片机控制LED七段显示器计时计分器等。 本设计根据所学的C语言基础知识和一些编程思想,对所需要的一些硬件在市场上进行了调查比较,选择了比较简单,容易实现的51单片机,结合运用C语言思想进行方案设计,使用keil uVision软件编写程序。51单片机内部的定时器/计数器进行中断可以结合软件延时实现计时。采用的硬件核心是89C51芯片,其内部采用Flash ROM,具有4KB ROM存储空间,能与3V的超低压工作,电路设计中由于不具备ISP在线编程技术,可以运用STC-ISP烧入程序。这个设计实现的功能是记下双方比分,随时显示,能够随时更改双方比分,能够调整比赛的时间,还有暂停时间,能够在双方更换比赛场地时交换双方比分,时间走完时能发出比赛终止信号。

基于单片机的篮球计时计分器

基于单片机的篮球计时 计分器 集团文件版本号:(M928-T898-M248-WU2669-I2896-DQ586-M1988)

目录

摘要 篮球比赛计分器的设计是为了解决篮球比赛时计分与计时准确方便和灵活适用的问题而提出的,我组设计的篮球比赛计分器硬件部分主要利用AT89S52单片机完成了计分与计时的功能,并通过两个四位七段数码管分别用来显示比赛时间和甲、乙比赛双方的分数,软件部分利用Keil C51软件来进行编译,通过Proteus软件进行仿真,最后将生成的HEX文件烧入到单片机芯片中。采用该系统可根据实际情况进行时间的准确显示和比分修改,具有低功耗、可靠性强、安全性高以及低成本等特点,主要不足之处在于计时显示部分有时会出现显示不稳定的情况,基本满足了本次设计要求。 关键词:单片机;篮球赛计分;篮球赛计时;数码管 Abstract Basketball match score indicator is designed to solve the basketball game scoring and timing is accurate, convenient and flexible applicable problem, and that my 14-year-old basketball game hardware part of the group design mainly USES AT89S52 MCU to complete the scoring and timing functions, and through the two four seven segment digital tube is used to display the match time and party a and b both sides score, software part use Keil C51 software to compile, through the Proteus software simulation, finally will generate burn-in HEX file to the MCU chip. Using the system can according to the actual situation to the accurate display and modify the score of time, with low power consumption, high reliability, safety and low cost etc., the main shortcoming in the timer display part can appear sometimes unstable situation, basic meet the requirement of the design. Key words: single chip microcomputer; The basketball game scoring; The basketball game timing; Digital tube

基于51单片机的篮球比赛电子记分牌_仿真图+程序

NEPU 课程设计 课程单片机课程设计 题目基于单片机的篮球比赛电子记分牌院系电气信息工程学院测控系 专业班级测控技术与仪器**-*班 学生XX **** 学生学号************ 指导教师**** **** 201*年* 月*日

NEPU课程设计任务书 课程单片机课程设计 题目基于单片机的篮球比赛电子记分牌 专业测控技术与仪器****学号************* 一、任务 以AT89C51单片机为控制核心,遵循篮球比赛规则,设计一款具有记录比赛分数,比赛剩余时间等基本功能的电子记分牌。 二、设计要求 [1] 要求能实时记录比赛的分数、剩余时间。 [2] 通过对AT89C51单片机的编程,实现比分和剩余时间的显示。 [3] 设计篮球24秒定时器、剩余5秒时发出提示音。 [4] 提交设计报告、电路图及程序源码。 三、参考资料 [1] 毅刚.单片机原理及应用[M].:高等教育,2004. [2] 润华,立山.模拟电子技术[J].:石油大学,2003. [3] 周润景,丽娜等.PROTEUS入门教程[M].:机械工业,2007. [4] 仕.电工电子制作基础[M].:华中科技大学,2008. [5] 介华.电子技术课程设计指导[J].:高等教育,1997. 完成期限 指导教师 专业负责人******

201*年*月**日

目录 第1章绪论1 1.1 单片机在体育赛事计分的应用1 1.2 本设计任务2 第2 章总体方案论证与设计2 2.1 显示模块2 2.2 按键控制模块3 2.3 报警模块3 2.4 总体硬件组成框图3 第3章系统硬件设计5 3.1 LCD1602显示模块的硬件设计5 3.2按键控制模块的硬件设计6 3.3 蜂鸣器报警模块的硬件设计7 3.4 单片机最小系统的硬件设计7 第4章系统的软件设计9 4.1 主程序设计9 4.2 按键控制程序设计10 4.3 蜂鸣器报警程序设计11 第5章系统调试与测试结果分析13 5.1 系统调试13

基于单片机的篮球记分器

学号:201025090229 中州大学毕业设计 设计题目:基于单片机的篮球计分器的设计 学院:工程技术学院 专业:机电一体化对口班级二班 姓名:周康 指导教师:沈娣丽 日期:2013 年4 月22 日

诚信声明 本人郑重声明:所提交的毕业设计(论文)是本人在指导教师的指导下,独立工作所取得的成果并撰写完成的,郑重确认没有剽窃、抄袭等违反学术道德、学术规范的侵权行为。文中除已经标注引用的内容外,不包含其他人或集体已经发表或撰写过的研究成果。对本文的研究做出重要贡献的个人和集体,均已在文中作了明确的说明并表示了谢意。本人完全意识到本声明的法律后果由本人承担。 毕业设计(论文)作者签名:指导导师签名: 签字日期:签字日期:

毕业设计任务书 班级:学生:学号 设计题目: 设计内容及要求: 1)设计一款显示篮球比分的记分牌; 2)通过加分按钮可以给A队,或B队加分; 3)设计对调功能,更换场地后,AB队分数互换; 4)计时:能实现0~99分和0~59秒任意调整,具有启动、暂停、复位功能;5)秒计时:能实现0~99秒任意调整,具有启动、暂停、复位功能; 6)增加局数比分功能; 7)增加比赛时间倒计时功能; 8)报警:0~99秒违例自动声、光报警:0~99分终场自动声响; 9)可实现A、B两队暂停次数和犯规的显示和任意加减; 指导老师(签字):年月日

摘要: 本设计是采用单片机AT89C51作为本设计的核心元件。利用7段共阴LED作为显示器件。在此设计中共接入了8个7段共阴LED显示器,其中4个用于记录AB队的分数,每队2个LED 显示器显示范围可达到0~99分,足够满足赛程需要,另外4个LED显示器则用来记录赛程时间,其中2个用于显示分钟,2个用于显示秒钟。赛程计时采用倒计时方式。即比赛前将时间设置好,比赛开始时启动计时,直至计时到零为止。计时范围可达到0~99分钟,也完全满足实际赛程的需要。当比赛队A队得1分时,按下A+1键;得2分时,按下A+2键;得3分时,按下A+3键;当加分出现错误时,可以按A-1键减1分,可以达到调整分数的作用;依照同样的方法可以记录B队的得分。 采用单片机控制使这个系统按键操作使用简洁,LED显示,安装方便。解决了篮球比赛计分器的安装问题,节约了线材,适合在各种规模的体育场馆使用,完全可以代替传统的用钟表进行计时的方法,当然稍加改动也可以用于其他球类比赛,是体育器材向智能化发展的一个实例。 关键词:单片机篮球计分器数码管

单片机原理及指导应用实训资料报告材料:实篮球记分牌

电子科技大学信息科技学院单片机原理及应用实训报告 题目篮球计分牌 学号0951100228 姓名锎 指导老师桂明锟志鹏 2011 年9 月23 日

1.系统设计 1.1设计要求 1.1.1.基本要求 1 设计一个基于单片机的篮球计分牌,实现两队的计分功能与24秒计时功能 2 结合硬件能够正常计分 3 能够正常显示分值。 4 能够正常修改工作模式(计分与24秒) 5 能够24秒的误差不小于1秒 1.1. 2.发挥部分 1 12分钟倒计时 2 能够两队球员犯规次数计数 3 重置24秒表 4 倒计时结束报警 5 12分钟倒计时结束红灯全部亮 1.2设计原理 1.2.1 框图设计 1.2.2 程序流程 1.2.2.1加分程序流程

1.2.2.2犯规程序流程 1.2.2.3 选择显示程序流程

1.3方案比较 1.3.1 方案1:是通过按不同的键来切换不同的输入,使用不同的LED灯的亮灭来显示不同的队加分。 电路图: 1.3.2 方案2:通过一个键来切换分数,24s倒计时,12分钟倒计时和犯规次数,通过LED灯的亮来判断是那个队加分,那个队犯规,12分钟倒计时结束。另外,给a队b队加分和加犯规次数。通过按键,选择哪种显示方式,暂停/开始24s倒计时,重置24s; 电路图:

1.4方案论证 1.4.1总体思路 7个按键实现对应功能:P1^6对应a队加分,第一个红灯亮;P1^0对应b队加分,第二个红灯亮;P1^1对应显示切换,切换有四类显示方式,第一类是a队跟b队的比分显示,且各两个数码管显示。第二类是24s倒计时的显示。第三类是12分钟倒计时的显示。第四类是a队跟b队的犯规次数显示;P1^2对应24秒倒计时暂停/开始;P1^3对应重置24s倒计时,同时24s倒计时暂停;P1^4对应a队加犯规次数,同时第三个红灯亮;P1^5对应b队加犯规次数,同时第四个红灯亮;外加复位键,按下则清零;24秒倒数为0时蜂鸣器响起警报,重新倒数时蜂鸣器关闭。12分钟倒计时结束时,蜂鸣器响起警报,红灯全亮。 1.4.2设计方案 使用了延时子程序(delay)用来按键消抖,还有定时中断程序来显示数码管,启止24s倒计时,12分钟倒计时,使用了P0口作为数码管的段选和P2口的位选,使用P1口作为按键作为输入,P3口的发光二极管作为判断a队和b队的选择和作为模式的选择部分和蜂鸣器作为倒计时24秒的计时的时间到;在主程序里面有各按键的功能,a队加分,b队加分,切换功能,重置24s功能,a队加犯规,b队加犯规; 2、模块电路的设计

篮球记分牌verilog设计

数字逻辑设计及应用课程设计报告 姓名: 学号: 选课号:79 设计题号:23

一.设计题目 篮球比赛数字计分牌 二.设计要求 1.分别记录两队得分情况; 2.进球得分加2分,罚球进球得分加1分; 3.纠正错判得分减2分或1分; 4.分别用三个数码管显示器记录两队的得分情况。 三.设计过程 (一)设计方案 1.模块设计 题目中要用三个数码管来记录两队的得分情况,本文采用输出为8421bcd码,外接译码器和数码管的方式来实现。 先设计一个带有进位(co)和借位(ci)输出的模块pad,输出端(num)输出4位8421bcd码外接译码器和数码管,pad模块还带有加一输入端(a1),加二输入端(a2),减一输入端(d1),减二输入端(d2)。输入端与开关相接,操作者按下开关即给该端口一个脉冲信号,各输入端口由上升沿触发。如果操作者同时按下多个端口,输出端口将保持原来的信号不变。pad模块功能图见图1-1。 图1-1 pad模块输入输出端口及功能

然后将三个相同的pad模块进行级联,构造为新的模块numberpad,从而得到带有三个数码管的篮球记分牌。甲乙两队都将分别使用这个记分牌。级联图见图1-2。 图1-2 pad模块级联图 2.模块内部的算法流程 每个模块有四个输入端口a1,a2,d1,d2来进行触发,触发事件太多,因此构造rem=a1|a2|d1|d2作为新的触发信号。因此,只要a1,a2,d1,d2中任意一个按键被按下,将会发出一个脉冲,rem也就会产生一个脉冲。但是可能出现多个按键同时按下的情况,这样会产生冲突。所以在always语句块中,进行判断,看是否a1,a2,d1,d2中只有一个处于高电平,若同时处于高电平,则输出维持原来的值不变。 判断完端口a1,a2,d1,d2中哪一个输入了以后,就要进行加1,加2,减1,减2的操作。 加1分为以下情况:(1)若num已经计数到9即1001,再加1则num应变为0000,进位端co输出1;(2)若num不为9,则直接加1,co输出0。 加2分为以下情况:(1)若num已经计数到8即1000,再加2则num应变为0000,进位端co输出1;(2)num已经计数到9即1001。再加2则num应变为0001,进位端co 输出1;(3)若num不为8或9,则直接加2,co输出0/。 减1分为以下情况:(1)若num此时为0,再减1则num应变为9即1001,借位端ci 输出1;(2)若num不为0,则直接减1,ci输出0。 减2分为以下情况:(1)若num此时为0,再减2则num应变为8即1000,借位端ci 输出1;(2)若num此时为1,再减2则num应变为9即1001,借位端ci输出1;(3)若num不为0或1,则直接减2,ci输出0 算法流程图见图1-3

基于51单片机的篮球计时计分器

《计算机系统与接口技术》课程设计报告 题目:篮球记时记分器 姓名: 学号: 班级: 专业:电子信息科学与技术 信电学院 2010年12月24日

徐州工程学院信电学院课程设计任务书2010-2011学年第1学期

徐州工程学院课程设计 摘要 本设计是采用AT89S51单片机为核心设计的一个用于赛场的篮球计时计分器。本设计采用定时器T0中断计时,显示部分分为计时和计分显示两部分,均采用共阴极LED显示。计时部分可以调整分钟,足以满足一般赛程需要。两个显示模块均采用动态扫描方式显示。 在本设计中P0(P0.1—P0.6)口是时间和分数的段码数据输出口,P2口是时间和分数的位选数据输出口,本设计共有九个设置按键,add1、add2是加分键(add1按一次加一分,add2按一次加二分),minus1是减分键(按一下减一分),exchange是分数位置交换键,bstop是关蜂鸣器键,start是开始计时键键(按键按下开始倒计时),stopagain是时间暂停键,turn是切换键(用于加分键的切换),timeadd是时间设定键。按键与P1口相接,低电平输入有效。报警部分由有蜂鸣器及其驱动电路组成。定时时间到,扬声器报警,比赛结束,按bstop 键可停止报警(否则一直报警)。本次设计的篮球计时计分器具有以下的功能:(1)能记录整个赛程的比赛时间,并能修改比赛时间。 (2)能随时刷新甲、乙两队在整个比赛过程中的比分。 (3)中场交换比赛场地时,能交换甲、乙两队比分的位置。 (4)比赛结束时,能发出报警声。 (5)可随时接受暂停请求。

计算机原理与接口技术 目录 1 概述 (3) 1.1 单片机简介 (3) 1.2 课程设计的意义 (4) 1.3 任务与要求 (4) 2系统总体方案及硬件设计 (5) 2.1 系统总体方案设计 (5) 2.2 硬件系统设计 (5) 2.2.1 单片机选择 (5) 2.2.2 时钟电路模块 (6) 2.2.3 复位电路模块 (7) 2.2.4按键控制键盘模块 (7) 2.2.5 显示模块和定时报警模块 (8) 3.1 软件总体设计方案 (10) 3.2 程序模块设计 (10) 4 PROTEUS软件仿真 (12) 5 课程设计体会 (14) 参考文献 (14) 附1 源程序代码 (15)

篮球比赛数字记分牌的设计方案

目录 1 课程设计题目`内容与要求……………………… 设计内容 具体要求 2系统设计………………………… 设计思路 系统原理 3 系统实现…………………………………………… 4 系统仿真……………………………………………5硬件验证(操作)说明……………………………… 6 总结…………………………………………………

7参考书目…………………………………………… 一、课程设计题目、内容与要求 课程设计的题目:篮球比赛记分牌 课程设计内容: 1、根据比赛实际情况记录两队得分,罚球进的1分,进球的2分; 2、记分牌要具有纠错功能,能减1分、2分功能; 3、利用3个译码显示管输出比赛的分; 二、系统设计 设计思路: 篮球比赛记分牌是记录两队比赛的得分情况,并能够进行纠错功能;根据系统设计的要求,篮球记分牌的电路原理框图如下:

系统原理与设计说明 系统各个模块的功能如下: 1、D触发器电路模块实现翻转功能当出错时,输出为1,使电路回到上一个正确的状态。 2、4为二进制全加器电路模块实现加法计数功能。 3、移位寄存器电路模块保存比赛两队得分情况的4个相邻状态,出错时将调用上一个正确状态。 4、二选一数据选择器电路模块用来控制移位寄存器 5、 LED数码管驱动电路模块 三、系统实现 各模块电路的源程序如下: 1、D触发器电路模块及程序:

set输入(Q=1),清零应该可以用复位键reset吧(Q=0)。library ieee; use entity sync_rsdff is port(d,clk : in std_logic; set : in std_logic; reset: in std_logic; q,qb : out std_logic); end sync_rsdff; architecture rtl_arc of sync_rsdff is begin process(clk) begin if (clk'event and clk='1') then if(set='0' and reset='1') then q<='1'; qb<='0'; elsif (set='1' and reset='0') then q<='0'; qb<='1'; else

基于单片机的篮球计分器设计毕业设计

摘要 随着电子科技、信息通信技术的快速发展,嵌入式单片机应用越来越广泛。在HMOS技术大发展的背景下,Intel公司在MCS-48系列的基础上,于1980年推出了8位MCS-51系列单片机。它与以前的机型相比,功能增强了许多,就其指令和运行速度而言,超过了INTEL8085的CPU和Z80的CPU,成为工业控制系统中较为理想的机种。较早的MCS-51典型时钟为12MHz,而目前与MCS-51单片机兼容的一些单片机的时钟频率达到40MHz甚至更高,现在已有400MHz的单片机问世。篮球记分器是一种得分记录工具,由多种电子设备组成。以单片机为核心的篮球记分器造价便宜,使用简单,体积小等特点。

第1章绪论 1.1 单片机简述 单片机就是在一块半导体硅片上集成了微处理器(cpu),存储器(RAM,ROM,EPROM)和各种输入、输出接口(定时器/计数器,并行I/O接口,串行口,A/D转换器以及脉宽调制器PWM等),这样一块集成电路芯片具有一台计算机的属性,因此被称为单片微型计算机,简称单片机。 单片机主要应用于测控领域,用以实现各种测试和控制功能。为了强调其控制属性,在国际上,多把单片机称为微控制器MCU(MicroController Unit)。单片机自20世纪70年代问世以来,以极其高的性价比受到人们的重视和关注,所以应用很广,发展很快。单片机的优点是体积小、重量轻、抗干扰能力强,对环境要求不高,价格低廉,可靠性高,灵活性好,开发较为容易。例如,80C51系列单片机已有十多年的生命期,如今仍保持着上升的趋势,就充分证明了这一点。单片机以其一系列优点,近几年得到迅猛发展和大范围推广,广泛应用于工业控制系统,数据采集系统、智能化仪器仪表,及通讯设备、日常消费类产品、玩具等。并且已经深入到工业生产的各个环节以及人民生活的各层次中,如车间流水线控制、自动化系统等、智能型家用电器等。而美国ATMEL公司开发生产了新型的8位单片机——AT89系列单片机。他不但具有一般MCS-51单片机的所有特性,而且还拥有一些独特的优点,此次设计中所用到的AT89C51就是其中典型的代表。 1.2 设计意义 单片机的应用是具有高度现实意义的。单片机极高的可靠性,微型性和智能性(我们只要编写不同的程序后就能够完成不同的控制工作),单片机已成为工业控制领域中普遍采用的智能化控制工具,已经深深地渗入到我们的日常生活当中。通过此次基于单片机设计的篮球计时计分系统,我们可以更清楚详细的了解单片机程序设计的基本指令功能、编程步骤和技巧来讲述单片机编程,并对MCS-51单片机的结构和原理进行讲述,以及基于单片机开发应用的相关芯片的工作原理,并且可以在将来的工作和学习中加以应用。

【篮球记分牌】篮球记分牌课程设计实验报告

【篮球记分牌】篮球记分牌课程设计实验报告 《【篮球记分牌】篮球记分牌课程设计实验报告》篮球记分牌课程设计实验报告 XX学校电子技术课程设计报告 题目学院自动化与电气工程学院专 业班级学号学生姓名指导教师完成日期 201X年 XX月XX日 篮球记分牌 摘要 围绕设计要求对篮球记分牌进行设计。首先根据功能要求提出单片机STC89S52RC为控制核心的设计方案;其次进行硬件系统和软件系统的设计,并且根据本次设计所用的V3.72开发板原理图进行设计,然后根据所设计的电路图级程序用Proteus和Keil 进行了仿真,验证了设计方案的正确性,最后,把程序烧进开发板中来完成篮球记分牌的硬件电路。调试和测试结果表明基本上都能满足此次课程设计的要求。本次课程设计,有效加深和巩固了单片机的基础知识,提高了我们的实际动手能力,具有及其深远的意义。 关键词:单片机独立按键蜂鸣器 at24c02 数码管 一、设计要求

1.比赛开始,比分能够全部清零,数码管前2位,末二位做记分用,当中2位做24秒倒计时用。 2.24秒倒计时时间到,蜂鸣器响、指示灯亮,重新开始倒计时(按下开始键),蜂鸣器停止鸣叫、 指示灯灭。 3.比分进行增加后,倒计时从24秒重新开始倒计时。任意时刻24秒可以重新开始倒计时。必 要时候能够关闭倒计时。 4.利用AT24C02或者单片机内部资源保存比分,支持复位保存,掉电保存功能。 二、系统设计及仿真 2.1、系统总体设计 图2-1系统结构图 思想汇报专题本系统采用单片机STC89S52RC为控制核心,系统主要包括显示模块、按键控制模块、蜂鸣器报警模块等。下面对各模块的设计进行论证。 1) 显示模块 单片机系统中,往往需要多位显示。动态显示是一种最常见的多位显示方法,应用非常广泛。所有数码管段选都连接在一起的时候,怎么让数码管显示不一样的数字呢?动态显示是多个数码管,交替显示,利用人的视觉暂停作用使人看到多个数码管同时显示的效果。

单片机篮球计时计分器

《单片机技术及其应用》 课程设计报告 题目:篮球计时计分器 班级:通信本科1班 学号: 姓名: 同组人员: 指导教师:敏 2014 年12 月15 日

目录 1概述 (1) 1.1单片机简介 (1) 1.2课程设计的意义 (2) 1.3设计的任务和要求 (2) 2系统总体方案及硬件设计 (2) 2.1 系统总体方案设计 (2) 2.2 单片机选择 (3) 3 系统的硬件设计 (4) 3.1 时钟电路模块 (4) 3.2 键盘控制模块 (4) 3.3 显示模块 (5) 3.4定时报警模块 (6) 4 系统的软件设计 (6) 4.1软件设计总流程图 (6) 4.2 定时初值计算 (7) 4.3 各部分程序功能分析 (8) 5 系统的Proteus仿真 (13) 6 总结 (14) 7 指导老师意见 (15) 参考书目: (15) 附录 C语言源程序 (16)

篮球计时计分器 1概述 1.1单片机简介 单片机,全称为单片微型计算机(Single Chip Microcomputer),即把组成微型计算机的各个功能部件如中央处理器(CPU)、随机存取存储器(RAM)、只读存储器(ROM)、输入/输出端口(I/0)、定时器/计数器以及串行通信接口等(可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路)都集成在一块芯片上,构成的一个完整的微型计算机。 由于单片机的集成度很高、功能强、通用性好、特别是它的体积小、重量轻、功耗低、价格便宜、可靠性高、抗干扰能力强和使用方便等优点、使得单片机得到了迅速推广应用、已远远超出了计算机科学的领域。 单片机自20世纪70年代问世以来,以极其高的性价比受到人们的重视和关注,所以应用很广,发展很快。单片机以其一系列优点,近几年得到迅猛发展和大范围推广,广泛应用于工业控制系统,数据采集系统、智能化仪器仪表,及通讯设备、日常消费类产品、玩具等。并且已经深入到工业生产的各个环节以及人民生活的各层次中,如车间流水线控制、自动化系统等、智能型家用电器等。而美国ATMEL公司开发生产了新型的8位单片机—AT89系列单片机。它不但具有一般MCS-51单片机的所有特性,而且还拥有一些独特的优点。此次设计中所用到的AT89S52就是其中典型的代表。 由于单片机的集成度高,功能强,通用性好,特别是它具有体积小,重量轻,能耗低,价格便宜,可靠性高,抗干扰能力强和使用方便等独特的优点,使单片机迅速得到了推广应用,目前已经成为测量控制应用系统中的优选机种和新电子产品的关键部位。世界各大电气厂家,测控技术企业,机电行业,竞相把单片机应用于产品更新,作为实现数字化,智能化的核心部件。篮球计时计分器就是以单片机为核心的计时计分系统,由计时器,计分器,综合控制器和24秒控制器等组成。

基于单片机的篮球记分器设计说明

学号:9 中州大学毕业设计 设计题目:基于单片机的篮球计分器的设计 学院:工程技术学院 专业:机电一体化对口班级二班 姓名:周康 指导教师:娣丽 日期:2013 年 4 月 22 日

诚信声明 本人重声明:所提交的毕业设计(论文)是本人在指导教师的指导下,独立工作所取得的成果并撰写完成的,重确认没有剽窃、抄袭等违反学术道德、学术规的侵权行为。文中除已经标注引用的容外,不包含其他人或集体已经发表或撰写过的研究成果。对本文的研究做出重要贡献的个人和集体,均已在文中作了明确的说明并表示了意。本人完全意识到本声明的法律后果由本人承担。 毕业设计(论文)作者签名:指导导师签名: 签字日期:签字日期:

毕业设计任务书 班级:学生:学号 设计题目: 设计容及要求: 1)设计一款显示篮球比分的记分牌; 2)通过加分按钮可以给A队,或B队加分; 3)设计对调功能,更换场地后,AB队分数互换; 4)计时:能实现0~99分和0~59秒任意调整,具有启动、暂停、复位功能; 5)秒计时:能实现0~99秒任意调整,具有启动、暂停、复位功能; 6)增加局数比分功能; 7)增加比赛时间倒计时功能; 8)报警:0~99秒违例自动声、光报警:0~99分终场自动声响; 9)可实现A、B两队暂停次数和犯规的显示和任意加减;

指导老师(签字):年月日

摘要: 本设计是采用单片机AT89C51作为本设计的核心元件。利用7段共阴LED作为显示器件。在此设计中共接入了8个7段共阴LED显示器,其中4个用于记录AB队的分数,每队2个LED 显示器显示围可达到0~99分,足够满足赛程需要,另外4个LED显示器则用来记录赛程时间,其中2个用于显示分钟,2个用于显示秒钟。赛程计时采用倒计时方式。即比赛前将时间设置好,比赛开始时启动计时,直至计时到零为止。计时围可达到0~99分钟,也完全满足实际赛程的需要。当比赛队A队得1分时,按下A+1键;得2分时,按下A+2键;得3分时,按下A+3键;当加分出现错误时,可以按A-1键减1分,可以达到调整分数的作用;依照同样的方法可以记录B队的得分。 采用单片机控制使这个系统按键操作使用简洁,LED显示,安装方便。解决了篮球比赛计分器的安装问题,节约了线材,适合在各种规模的体育场馆使用,完全可以代替传统的用钟表进行计时的方法,当然稍加改动也可以用于其他球类比赛,是体育器材向智能化发展的一个实例。 关键词:单片机篮球计分器数码管

篮球记分牌数电课程设计

数字逻辑电路 课程设计报告 院(部):信息工程学院 专业:通信工程 班级:通信二班 姓名:陈俊达 学号:20150303203 成绩: 指导老师:李海霞 开课时间:2016-2017 学年 2 学期

课程设计任务书 一、设计题目 篮球记分牌 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、要求完成的主要功能 1、自选器件,要求甲乙双方各显示为三位数(可显示至百位) 2、分别用三个按钮,给记分牌加减1、2、3分。 3、用一个开关实现加减控制 4、每次篮球比赛计分后用一个开关给系统清零,使系统复位,准备下一次 比赛实验。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成: 1、理论设计方案,演示所设计成果,总成绩20%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩30%;

4、考勤情况,占总成绩20%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为0分,无故旷课三次总成绩为0分。迟到20分钟按旷课处理。

基于单片机的比赛记分牌设计

课程设计(论文)说明书 题目:基于单片机的比赛记分牌设计 院(系):机电工程学院 专业:机械设计制造及其自动化 学生姓名: 学号: 指导教师: 职称: 2015年 12月 18日

摘要 本论文介绍了以AT89S52为核心控制部件,并制作成为最小系统,兼备输入信号的识别与处理与输出信号的控制;其主要功能为实现体育竞赛的简单记分功能;事先在单片机内部写入控制程序,通过用户按键,对单片机输入不同的控制信号,即可实现分数的加与减、权值的灵活调整、蜂鸣提醒、手动式计数复位以及具体数字显示等功能。整个设计流程分为硬件设计与软件设计两大部分,但本文仅着重叙述硬件设计部分。 关键字:AT89S52;单片机;记分系统 Abstract In thispaper introduces one kind of scores counting function that take the 51 single chip microcomputer as the primary control unit. The scores counting, weight changing, buzzing when number changes and display the number is the major function in this minimum system. The whole process can be divided into two different parts. The one is hardware design, and the other one is software. But the paper tends to introduce the part of hardware design. Keywords:AT89S52;MCU;Counting System

2020年篮球计分器开题报告

篮球计分器开题报告 篮球计分是篮球比赛的准备基础,关于它的设计也能影响到篮球的比赛。 石河子大学 毕业论文开题报告 课题名称:基于单片机的便携式篮球计分计时系统设计 学生姓名:朱宝军 学号:学院:机械电气工程学院 专业、年级:电气工程及其自动化12级2班 指导教师:张晓海 职称:副教授 毕业论文(设计)起止时间: 目录 1课题名称及 (1) 2研究的目的意义及国内外研究现状 (1) 2.1选题的目的及意义 (1)

2.2国内外研究现状 (1) 3课题的研究内容、目标及可行性分析 (2) 3.1研究内容 (2) 3.2研究思路和方法 (2) 3.3研究目标 (2) 3.4可行性分析 (3) 4关键问题和难点分析 (3) 4.1课题研究的关键问题 (3)

4.2课题研究的难点分析 (3) 4.3进度计划 (3) 参考文献 (4) 1课题名称及: 名称:基于单片机的便携式篮球计分计时系统设计 :老师推荐 2研究的目的、意义和国内外研究现状: 2.1选题的目的及意义 进入21世纪,伴随着电子,信息通信技术的应用与普及开发,人们对电子技术的要求也越来越高。目前嵌入式单片机渗透到我们生活的各个领域,按照历史性、本质性、普遍性要求,嵌入式系统应定义为:“嵌入到对象体系中的专用计算机系统”。对于嵌入式即可分软件部分和硬件部分。以MCU为核心,就是各种各样的单片机,是嵌入式硬件部分的实现方式之一,它主要是因为把处理器和存储器等部件集成在一块芯片上。 在探索单片机的发展道路时,有过两种模式,即“Σ模式”与“创新模式”。“Σ模式”将通用计算机系统中的基本单元进行裁剪

单片机课程设计--篮球记分牌

单片机课程设计报告 题目篮球记分牌 学院自动化与电气工程学院专业自动化 班级自动化103 学号 学生姓名 指导教师 完成日期2012年12月15日

篮球记分牌 一.设计要求: 1、比赛开始,比分能够全部清零,数码管前2位、末2位做记分用,当中2位做24秒倒计时用。 2、24秒倒计时时间到,蜂鸣器响、指示灯亮,重新开始倒计时,蜂鸣器停止鸣叫、指示灯灭。 3、比分进行增加后,倒计时从24秒重新开始倒计时。任意时刻24秒可以重新开始倒计时。必要时候能够关闭倒计时。 4、利用AT24C02或者单片机内部资源保存比分,支持复位保存,掉电保存功能。 5、其他附加功能。 二.原理图:

三.实验流程图: 四.实验程序: Main.c文件: /****************************************************************************** *************** 函数名:篮球记分牌 说明:比赛开始,比分能够全部清零,数码管前2位、末2位做记分用,当中2位做24秒倒计时用。 24秒倒计时时间到,蜂鸣器响、指示灯亮,重新开始倒计时,蜂鸣器停止鸣叫、

指示灯灭。 比分进行增加后,倒计时从24秒重新开始倒计时。任意时刻24秒可以重新开始倒计时。必要时候能够关闭倒计时。 作者: 完成日期:2012.12.2 /****************************************************************************** ****************/ #include #include #include"eeprom.h" #define uchar unsigned char #define uint unsigned int /***********端口定义*************/ /*数码管段选用P0口,位选用P2口*/ /******指示灯******/ sbit LED=P3^4; /********蜂鸣器*********/ sbit FMQ=P3^5; /******数组定义*******/ uchar code table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x07f,0x6f};//0,1,2,3,4,5,6,7, 8,9 uchar password[6]; //掉电保存6位数组 /***********变量定义*************/ uchar fen1; //甲队初始得分 uchar fen2; //乙队初始得分 uchar fen3; //甲乙两队上下半场换分 uchar SJ; //时间变量值 uchar cxjs=1; //重新计时标志位 uchar key; //矩阵键盘按键值 uchar temp; //矩阵键盘P1口寄存变量 uchar time; //中断计数器 /*********************************************************** 函数名称:delay 函数功能:基本延时程序 入口参数:无 出口参数:无 备注:无 ***********************************************************/ void delay(uchar x) { uchar j;

篮球赛电子计时记分牌

摘要 篮球赛电子计时记分牌是根据篮球比赛特点设计的独立的信息采集、分配、评判和显示的系统,能实现比赛时间和分数的实时、准确、快捷处理和呈现。本设计原理简单,主要采用AT89C52单片机作为微控制器,集合矩阵键盘、数码管和LED指示灯,通过键盘控制记分牌的工作状态,通过数码管和LED指示灯来反馈信息。本设计在充分考虑了篮球比赛的实际情况基础上设计,具有友好的人机交互接口,并且可以防止人为误操作造成的不良后果,具有一定的实用性。 关键字:单片机;AT89C52;计时记分牌;篮球比赛

目录 1 智能仪器仪表的简介 (1) 1.1智能仪器仪表简介 (1) 2 系统设计简介 (2) 2.1 篮球赛电子计时记分牌简介 (2) 2.2 设计要求 (2) 2.3 设计方案论证 (2) 3.系统硬件设计 (3) 3.1单片机的选型 (3) 3.2 复位和振荡电路的设计 (3) 3.3键盘输入模块的设计 (4) 3.4 信息提示模块的设计 (4) 3.5 系统总体电路 (5) 3.6 系统所用元器件 (7) 4 设计语言及软件介绍 (8) 4.1 汇编语言介绍 (8) 4.2 wave6000软件介绍 (8) 5 系统软件设计 (9) 5.1 软件系统总体设计方案 (9) 5.2软件系统流程图 (9) 5.2.2按键检测子程序设计 (12) 5.2.3 数码管显示子程序设计 (12) 5.3 控制源程序 (13) 5.3.1汇编程序 (13) 5.4 调试及仿真 (24) 结论 (26) 参考文献 (27)

1 智能仪器仪表的简介 1.1智能仪器仪表简介 仪器仪表(英文:instrumentation)仪器仪表是用以检出、测量、观察、计算各种物理量、物质成分、物性参数等的器具或设备。真空检漏仪、压力表、测长仪、显微镜、乘法器等均属于仪器仪表。广义来说,仪器仪表也可具有自动控制、报警、信号传递和数据处理等功能,例如用于工业生产过程自动控制中的气动调节仪表,和电动调节仪表,以及集散型仪表控制系统也皆属于仪器仪表。

基于51单片机的篮球计分器

工程设计课程报告 基于51单片机的篮球计分器 姓名:莫崇维学号: 01104022 2012 年 12月 22 日

基于51单片机的篮球计分器 一.需求分析 篮球是一项充满乐趣的运动,打篮球可以学到很多课本里没有的东西,比如信任,合作,鼓励等,现在篮球普及率已经很高,不仅仅是专业运动员的运动,也是普通老百姓的活动,不管是走在学校里,还是公园里,甚至是乡村里,都能看到篮球场,都能看到打篮球的人,篮球已成为我们大部分人生活里不可或缺的组成部分,每天都能听到有人在谈论篮球,说说自己喜欢的NBA巨星……因为喜欢篮球,所以打篮球,时不时会来场激烈的比赛,篮球计分器将让我们摆脱用粉笔或记分牌计分带来的不便,轻轻松松几个按钮开关就能让我们记下分数,让我们感受到科技给我们带来的巨变。 计分器主要功能: 1、记下双方比分,随时显示 2、能够随时更改双方比分 3、能够调整比赛的时间,还有暂停时间 4、能够对换比分当双方更换场地 5、时间走完能发出终场比赛信号 二.方案设计 根据所学的C语言基础知识和一些编程思想,对所需的一些硬件进行市场调查比较,选择了比较简单,易于实现的51单片机,结合运用了C语言思想进行方案设计,运用keil uversion软件编写程序。 51单片机内部的定时器/计数器进行中断可以结合软件延时实现计时。 采用89C51芯片作为硬件核心,其内部采用FlashROM,具有4KB ROM存储空间,能与3V的超低压工作,由于电路设计中时由于不具备ISP在线编程技术,可以运用STC-isp烧入程序。 图1给出了本系统的实现框图。

图1 总体框图 三.电路原理设计 电路主要包括CPU部分、电源部分、复位电路部分、按键部分、LED显示部分。 1、CPU部分 51单片机为单芯片微控制器,常见封装形式为40脚双列直插式塑料封装DIP-40,其引脚识别为:正面面向用户,缺口向上,左上面第一脚为1脚,然后按逆时针方向依次为2~40脚。通常第一脚有标志符号。51单片机管脚图如图2所示。 AT89C51是一种带4K字节闪烁可编程可擦除只读存储器(FPEROM—Falsh Programmable and Erasable Read Only Memory)的低电压,高性能CMOS8位微处理器,俗称单片机。AT89C单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。

相关文档
相关文档 最新文档