文档库 最新最全的文档下载
当前位置:文档库 › (完整版)基于FPGA的FFT设计毕业设计

(完整版)基于FPGA的FFT设计毕业设计

(完整版)基于FPGA的FFT设计毕业设计
(完整版)基于FPGA的FFT设计毕业设计

诚信承诺书

本人承诺:所呈交的论文是本人在导师指导下进行的研究成果。除了文中特别加以标注和致谢的地方外,论文中不包含其他人已发表或撰写过的研究成果。参与同一工作的其他同志对本研究所做的任何贡献均已在论文中作了明确的说明并表示了谢意。

签名:日期:

本论文使用授权说明

本人完全了解南通大学有关保留、使用学位论文的规定,即:学校有权保留论文及送交论文复印件,允许论文被查阅和借阅;学校可以公布论文的全部或部分内容。

(保密的论文在解密后应遵守此规定)

学生签名:指导教师签名:日期:

摘要

快速傅里叶变换(FFT)是一种为了改进和提高离散傅里叶变换(DFT)运算速度而提出的算法。它是根据已有的DFT的运算特性发展起来的DFT快速算法。快速傅里叶变换的理论在信号处理、数字通信、语音处理和计算机等诸多领域有着广泛的运用。在不同的运用场合,对FFT电路的性能有着不同的要求,但是在很多领域都要求FFT处理器能够具有高速度、高精度和实时性的工作状态。

现场可编程门阵列(FPGA)是由许多独立的可编程逻辑模块组成一种新型可编程器件。FFT运算结构相对比较简单和固定,适合使用FPGA进行硬件实现,并且能兼顾速度及灵活性。本文介绍了一种基于FPGA上实现32点FFT变换的设计方案。整个FFT模块采用基-2时域抽取,顺序输入,逆序输出的方法实现。将采集到的数据通过编写串口程序输入,再运用复数乘法器为核心设计了FFT算法中的基-2蝶形运算单元、溢出控制单元和地址与逻辑控制模块等其它模块,并以这些模块和FPGA内部的双口RAM为基础组成了基-2FFT算法模块,将经过处理的数据由串口程序输出,并且对运算结果使用MATLAB软件对比验证。

关键词:快速傅里叶变换,FPGA,旋转因子,基-2

ABSTRACT

Fast Fourier Transform (FFT) is a algorithm in order to improve and enhance the computing speed of the Discrete Fourier Transform (DFT). It is a DFT fast algorithm according to the development of the operational characteristics of the existing DFT. The theory of FFT is widely used in many fields such as signal processing, digital communications, voice processing, and computer. In different applications, the performances of the FFT circuit have different requirements, but in many areas FFT processor is required with high speed, high accuracy and real-time work status.

Field Programmable Gate Array (FPGA) is a new type programmable device which is composed by a number of independent programmable logic module. The structure of FFT computation is relatively simple and fixed, suitable for the use of FPGA hardware implementation, and also can take the speed and flexibility into account. This article introduces a 32-point FFT transform design which is based on FPGA. The entire module uses Radix-2 time-domain extraction, the order of input, output reverse method. Input data using the serial interface program and using a complex multiplier as the core design of FFT algorithm in the Radix-2 butterfly unit, overflow control unit and address and logical control module and other modules, and within these modules and FPGA-based dual-port RAM formed the Radix-2 FFT algorithm module, output the processed data from the serial interface program, and using MATLAB software for comparison and validation

of calculation results.

Keywords: FFT, FPGA, Rotation factor, Radix-2

目录

摘要............................................................................................................................... ABSTRACT .........................................................................................................................第一章绪论...................................................................................................................

1.1数字信号处理概论..................................................................................................

1.2数字信号处理的发展趋势......................................................................................

1.3 所做的主要工作.....................................................................................................第二章FPGA的基础知识...............................................................................................

2.1 FPGA的简介..........................................................................................................

2.2 FPGA较其他器件优点..........................................................................................

2.3 开发软件简介.........................................................................................................

2.3.1 Quartus II软件介绍 ....................................................................................

2.3.2 Quartus II软件设计流程 ............................................................................

2.4 Verilog HDL的简介...............................................................................................

2.5 本章小结.................................................................................................................第三章FFT算法原理 ......................................................................................................

3.1 快速傅里叶变换.....................................................................................................

3.2 基-2FFT算法.........................................................................................................

3.2.1 基-2FFT算法原理.......................................................................................

3.2.2 基-2FFT算法特点.......................................................................................

3.3 本章小结.................................................................................................................第四章FFT的FPGA实现 ...............................................................................................

4.1 整体结构设计.........................................................................................................

4.2 蝶形运算单元.........................................................................................................

4.3 逻辑控制及其他辅助单元.....................................................................................

4.3.1 逻辑控制单元...............................................................................................

4.3.2 其他辅助模块...............................................................................................

4.4 存储单元.................................................................................................................

4.5 串口通信单元.........................................................................................................

4.6 FFT整体实现 .........................................................................................................

4.7 本章小结.................................................................................................................第五章系统的仿真与测试.................................................................................................

5.1 实验结果及分析.....................................................................................................

5.2 本章小结.................................................................................................................结束语...................................................................................................................................参考文献...............................................................................................................................致谢...................................................................................................................................

第一章绪论

1.1数字信号处理概论

随着现代计算机与信息技术的不断飞速发展,对数字信号处理系统的运行处理速度要求也越来越高。数字信号处理系统的研究人员一直在寻找各种优化的算法来解决信号处理中遇到的棘手问题。其实质就是通过相应的软件与硬件的结合,将模拟信号或者其他的一些信号转换为数字信号并加以相应的处理。

在数字信号处理领域中部分数据可以在完成所有采集之后再进行处理,这些数据对系统的实时性处理要求较低,利用通用的计算机系统既可以完成处理。这一类数字信号处理在计算机上编写程序,修改和运行,并对结果进行分析就能满足要求。还有一类数字信号处理必须在规定的时间内完成,比如手机通话和雷达系统等。有的数字信号处理对时间的要求十分严格,甚至使用高速的通用微处理器芯片也无法满足性能需要,因此在这种情况下就必须为这样的运算设计专用的硬线逻辑电路,通过FPGA器件上实现或者制成高速专用集成电路。因此,对数字信号的实时处理一方面也是建立在高速大规模集成电路不断发展的基础上的。另一方面,对数字信号处理实时性的要求不断提高,也推动了高速大规模集成电路制造技术的进步[1]。

经过几十年的发展,数字信号处理(DSP)作为一项成熟的技术,已经在诸多领域取得了广泛的运用,并且一些方面有逐步取代传统的模拟信号处理系统的趋势。DSP系统具有以下几项优点:如数字元器件对温度变化老化及元件容差不敏感。相比较模拟信号,数字信号在精度、灵活性、线性相位、多维处理等方面具有明显优势。有两个事件加速了DSP技术的发展,其一是Cooley和Tuckey(1956年)揭示了一种计算

离散傅里叶变换(Discrete Fourier Transform ,DFT)的有效算法。而另一个重大转折就是在20世纪70年代后期可编程数字信号处理器(Programmable Digital Signal Processor,PDSP)引入。

1.2数字信号处理的发展趋势

数字信号处理在很多领域运用广泛,比如信号处理、数字通信、语音识别、雷达系统等。传统的使用软件处理来实现这些算法,缺点明显:速度慢、效率低,已经无法满足现代通信中对于信号处理的实时性越来越高的要求。数字信号处理发展的一个明显趋势就是:高速和实时。在一些情况下,即使通用信号处理器(DSP)也无法满足系统的性能要求。近年来,现场可编程门阵列(FPGA)凭借着其更高的集成度、更强的逻辑实现能力和更好的设计灵活性,逐渐在数字信号处理领域获得越来越广泛的应用。它作为专用集成电路(ASIC)中的一种半定制电路,相对于DSP有着成本、性能和灵活性等方面的优点。

FPGA是一种直接由硬件实现的器件,它由逻辑功能块排成阵列组成,内部含有很多相同的运算单元,所以当使用FPGA在作数字信号处理时,速度会远远高于通用的DSP芯片。在实现实时处理方案时往往需要使用多个DSP芯片,从而提高了产品的价格、功耗和开发周期。特别是伴随近年来FPGA的集成规模、运算速度不断提高,系统设计和调试方法更加丰富,其在数字信号处理方面应用会更加广泛。

1.3所做的主要工作

随着FPGA技术的不断成熟和FFT算法在诸多领域的广泛应用,所以利用FPGA芯片进行FFT系统设计的方案越来越多。因为FPGA 芯片的重复可编程特点和具有丰富的逻辑单元,所以非常适合于算法比较固定、运算数据量大的实时数字信号处理。研究是在国内外专家学者

的研究基础之上进行的,本论文主要FFT算法的FPGA实现,重点是运用Quartus II软件模拟仿真。

全文共分为五章,各章节的主要内容安排如下:

第一章为绪论,简要介绍了数字信号处理技术的研究背景、意义和发展趋势。

第二章对FPGA的基础知识进行了简单的介绍,以及使用FPGA进行开发的优点。然后对本次研究需要使用的Quartus II软件和Verilog HDL的使用予以介绍。

第三章对FFT算法的原理进行介绍。了解快速傅里叶变换的主要内容后,论文着重介绍了FFT算法原理和特点。其中包括理论知识、算法实现和主要特点。

第四章介绍FFT的FPGA的实现,首先描述整体的设计思路,再对使用硬件描述语言实现的各个模块进行介绍和分析。

第五章为通过使用Quartus II软件对FFT系统进行编译、仿真和测试,对输入的数据进行处理后再输出,并且和理论值进行比较验证设计的正确性。

第二章FPGA的基础知识

2.1FPGA的简介

FPGA(Field Programmable Gate Array,现场可编程门阵列)是在可编程逻辑阵列(PAL)、通用逻辑阵列(GAL)、复杂可编程逻辑器件(CPLD)等可编程器件的基础上进一步发展的产物,相对于其他的可编程器件,FPGA在系统集成度、逻辑实现和设计能力等诸多方面有着明显的优势。它能够根据用户的编程实现某种逻辑功能,而不需要前期的大量硬件开发投入。这使得FPGA在满足专用的、个性化的设计要求方面无疑将拥有更大的灵活性和竞争力[2]。近年来,随着FPGA的性能不断快速发展,它的广泛使用不仅简化了电路的设计复杂程度,降低了设计成本,提高了系统的可靠性,而且给整个数字电路系统的设计和实现带来了革命性的变化,使更低成本、更短周期的复杂数字系统开发成为可能。

正是由于FPGA上述的优点,使得其在在数字信号处理、工业控制、数据处理等诸多领域的运用越来越广泛。而随着微电子制造技术的发展和市场的需要,各种大容量、高性能、低功耗的FPGA不断推出,新一代的FPGA不仅包含可编程逻辑模块,更集成了微处理器芯片(CPU)和其他各种外接端口,方便与外部设备的连接使用,从而能够实现软硬件的协同工作,为数字系统设计提供更为强大的硬件支持。所以FPGA 的发展前景必将十分的广阔。

2.2 FPGA较其他器件优点

经过二十多年的不断发展,现在工程中使用的可编程逻辑器件主要包括两大类:CPLD和FPGA。虽然FPGA和CPLD都是可编程的ASIC

器件,它们之间有着很多的共同点,但是由于FPGA和CPLD在结构上的差异,使得它们都具有各自的特点。通常CPLD的特点有:(1)CPLD不需要另外配置加载芯片。

(2)CPLD的运算速度比FPGA快,并且具有较大的时间上的可预测性。

(3)在编程存储方式上,CPLD使用E2PROM或Flash作为编程存储器,即使系统断电后存储器内容不会丢失。FPGA则是使用SRAM 的存储,系统断电时存储器中的编程信息丢失。

(4)CPLD的保密性比FPGA好。

(5)通常情况下,CPLD的功耗比FPGA大,而且随着集成度的提高而增大。

FPGA中包含数量丰富的可编程逻辑模块,但是CPLD通常情况下却只能做到512个逻辑单元。除此之外,FPGA的平均逻辑单元成本也大大低于CPLD。所以,FPGA和CPLD相比较而言,具有一下的优点:(1)I/O数量多。同CPLD一样,FPGA同样具有众多的用户可定义的I/O资源,支持多种I/O标准,且数据传输的速率非常高。

(2)时序更容易满足要求。与CPLD相比FPGA的内部布线资源更加丰富,更容易实现用户的时序设计要求。

(3)细粒FPGA结构的优点。FPGA是细粒结构,这就意味着每个单元间存在细粒延迟。如果将少量的逻辑紧密排列在一起,FPGA的速度会相当的快。

(4)内部资源丰富。与CPLD相比,FPGA除了具有丰富的布线资源外,其内部逻辑资源也要丰富的多。

(5)容量大、功能强。一般来说,FPGA器件的容量比CPLD器件更容易做大,其内部资源更加的丰富,甚至可以把整个系统放在一块FPGA芯片上实现。

(6)可任意次数的编程。FPGA器件的编程数据是存放在片外的RAM上,当系统上电时才将数据导入FPGA芯片的内部存储单元中,理论上的编程次数是无限的,而CPLD的稳定可编程次数一般不超过1万次。

正是因为FPGA具有的以上特点,利用FPGA设计的FFT系统相对于传统软件或者DSP实现FFT具有以下优点:

(1)FPGA芯片运行速度快能够很好的满足实时处理的要求,而单纯靠软件或者DSP进行处理速度通常比较慢。

(2)FPGA的可编程特性使得其灵活性很强,可以根据需要再次修改程序算法,而且降低了开发成本和设计周期,这是DSP无法比拟的。

2.3 开发软件简介

2.3.1 Quartus II软件介绍

Quartus II软件是Altera公司主推的FPGA设计软件,其前身是大家熟悉的MaxPlus II软件,Quartus II软件集设计输入、编译、综合、仿真、布线布局和下载等功能于一体,是一款功能非常强大的EDA设计软件,对于不是很大的系统设计,完全可以在这个平台上完成所有的设计任务。但是,由于Altera公司毕竟是一家以FPGA芯片为主营业务的公司,且Quartus II软件功能过于庞杂,所以合理的利用FPGA设计第三方软件及其他数据处理软件(如MATLAB软件),将大大提高FPGA的设计效率。

2.3.2Quartus II软件设计流程

基于Quartus II进行的EDA设计开发流程如图2.1所示,包括以下步骤:

(1)设计输入:包括原理图式设计输入、硬件描述语言文本输入、内存编辑输入及第三方工具输入等几种方式。

(2)编译:Quartus II可选的编译方式有综合并输出网表和完全的编译。第二种编译包括,网表输出、综合、器件配置等,并且编译软件根据器件配置设定延时时间。

(3)仿真:Quartus II软件支持多种输入的仿真,如.vwf波形文件,.vec向量文件,.tbl列表文件。通过仿真验证设计的逻辑功能和时间延时是否满足要求。

(4)下载与检验:当设计经过编译和仿真测试后,如果满足设计要求便可以下载到电路开发板上的FPGA芯片中进行在线测试。

在设计过程中,如果出现错误,则需要重新回到设计输入阶段,改正错误或调整电路后重复上述过程[3]。

图2.1 Quartus II设计流程

2.4 Verilog HDL的简介

硬件描述语言(HDL,hardware description language)是一种用形式化方法来描述数字电路和系统的语言。通过硬件描述语言,建立系统行为级的仿真模型,然后利用EDA软件对由硬件描述语言建立的的复杂数字逻辑电路模型进行仿真,然后再进行综合编译,以生成符合要求且在电路结构上可以实现的数字电路逻辑网表(Netlist)。再根据具体器件生成该器件工艺条件下的电路延时配置,经测试验证后,写入FPGA 或CPLD的存储器中。在20世纪80年代后期,硬件描述语言的发展趋势就是逐渐实现标准化,经过世界范围内的广泛验证,Verilog HDL和

VHDL语言符合了这种趋势的要求,得到越来越多的EDA公司和设计人员的使用和认可。本文采用的是Verilog HDL语言来设计逻辑电路[4]。

Verilog HDL是硬件描述语言的一种,用于数字电子系统的设计。该语言允许设计者进行各种级别的逻辑功能设计和数字逻辑系统的仿真验证,更重要的是进行时序分析和综合测试。在美国、欧洲和我国台湾地区都有大量的设计工程师在使用Verilog HDL进行数字电路设计。一般把功能经过验证的、可综合的、实现后电路结构总门数在5000门以上的Verilog HDL模型称之为“软核”(soft core),而把由软核构成的器件称之为虚拟器件[5]。Verilog HDL很适合系统级(system)、算法级(algorithem)、寄存器传输级(RTL)、逻辑级(logic)、门级(gate)、电路开关级(switch)设计,而system verilog是verilog语言的延伸和扩展,更适合于可重复使用的可综合的IP核和可重用的验证用IP设计,以及特大型(千万门级以上)基于IP的系统级设计和验证。

概括的说,Verilog HDL语言具有以下的一些特点:

(1)既用于可综合的电路设计,也可以用于电路与系统的仿真。

(2)能在不同的层次上对所要设计的系统进行描述,从开关级、门级、寄存器传输级行为级等。

(3)电路结构描述方式灵活,不但可以使用行为级描述或者结构级描述,还可以二者混合描述。

(4)内置各种逻辑门,如and、or和nand等,可以方便的进行门级结构描述;内置各种开关级器件,如pmos、nmos和cmos等,可以进行开关级的建模。

(5)用户自定义原语(UDP)的灵活性[6]。

2.5本章小结

本章主要对FPGA的相关知识进行了介绍,包括含义、组成和相对

于其他可编程器件的优点,最重要的特点是大容量,可重复编程,实时处理能力强。然后对本文研究需要使用的Quartus II软件进行了简单的介绍,着重介绍了其设计流程。最后对所使用的硬件描述语言语言Verilog HDL予以介绍,包括其在世界范围内的发展情况和主要特点。

第三章 FFT 算法原理

3.1 快速傅里叶变换

快速傅里叶变换(FFT )是Cooley 和Tukey 于1956年提出的一种离散傅里叶变换的快速计算方法,它在理论上并不是一种新的变换,而是一种快速有效地计算DFT 的方法。DFT 可以为连续信号频谱分析,实现快速线性卷积,计算相关函数等。但是由于DFT 的计算量很大,所以其并没有得到真正的运用。直到FFT 算法的提出,这种情况才得到根本的改变。FFT 算法使得DFT 计算量大大降低,运算时间比传统的DFT 算法缩短了一到两个数量级,从而有力地推动了数字信号处理技术的运用和发展[7]。

3.2 基-2FFT 算法

3.2.1 基-2FFT 算法原理

基-2FFT 算法是最经典而且也是运用最广泛的FFT 算法。该算法分按时间抽取(DIT )和按频率抽取(DIF )两种类型,它们两者在原理上是基本相同的。本课题采用的是DIT ,下面着重介绍这一算法。

已知长度为N 的有限长序列x(n)的DFT 表达式为:

1,...,1,0)()(1

0-==

∑-=N k W n x k X N n kn N , (3-1)

当x(n)为复数序列的一般情况时,k 为0到N-1的某个整数,根据(3-1)式计算X(k)的DFT 值分别需要N 次复数乘法和(N-1)次复数加法。 那么直接计算DFT 需要N 2次复数乘法及N(N-1)次复数加法。因为1次复数乘法中包含4次实数乘法和2次实数加法,1次复数加法中有2次实数加法,所以做1次离散傅里叶变换需要4N 2次实数乘法和

N(4N-2)次实数加法。当序列的长度N 不断增大时,所需要的运算次数也会随着急剧的增加,所以直接用DFT 算法进行谱分析和信号的实时处理是不切实际的[8]。

通过以上分析,N 点DFT 需要的复数乘法次数为N 2次。如果把整个序列分解成几个有规律的短序列,再分别计算其各个短序列的DFT 值,就可以使整个运算的乘法次数减少很多;利用旋转因子的周期性、对称性进行合并和归类处理,从而减少DFT 的运算量。

其对称性为:

(3-2)

周期性为:

22()j m lN j m m lN

m N N N N W e e W ππ-+-+=== (3-3)

式中,m 为非零整数。

对于基-2FFT ,由于N=2M ,因此可以通过M 次的分解最后完全转换成2点的DFT ,最终减少DFT 的运算量。

根据n 的值为奇、偶数将序列x(n)分解为x 1(n)、x 2(n)两组子序列;用2个N/2点的DFT 来实现一个N 点DFT 的运算。 设一个序列x(n)的长度为N ,如下式所示

, (3-4)

, (3-5)

所以

kr N N r k N

N r kr N W r x W W r x 212/012/02)12()2(∑∑-=-=++=

其中k 的取值范围为0,1,…,N-1。

(3-7)

所以)()()12()2()(212/12/012/02/k X W k X W r x W W

r x k X k N kr N N r k N N r kr N +=++=∑∑-=-=

这样就将N 点DFT 分解为两个N/2点的DFT 。

由于X1(k)和X2(k)均以N/2为周期,而且

所以又可以将X(k)表示为如下所示的表达式

(3-9) 12()()()2

k N N X k X k W X k +=- (3-10) 对上式的运算用图3.1所示的流图符号来表示

假设对于一个N=8点的DFT 运算可以根据式(3-9)、(3-10)和图3-1,表示成图3.2的计算方式。其中式(3-9)和(3-10)分别给出了X(0)-X(3)和X(4)-X(7)的计算方法。

图3.2 N=8点DFT 一次时域分解图

由图3.1和3.2知,在经过一次时域分解后,计算一个N=2M 点的

FFT 的流程图共有M 级蝶形运算,每级由N/2个蝶形运算组成,每个蝶形运算需要1次复数乘法和2次复数加法。所以计算N=2M 点FFT 的共需要2(N/2)2+N/2=N(N+1)/2≈N 2/2(N>>1)次复数乘法,N(N/2)+2N/2=N 2次复数加法运算。与原DFT 运算比较而言,复数乘法的运算量减少了一半,这充分说明FFT 算法的有效性[9]。

第二次分解:

将x 1(r)按r 取奇、偶可分解成2个长度为N/4的子序列

x 3(l)= x 1(2l)

x 4(l) = x 1(2l+1)

根据上面推导可得:

)(k X W k X N k X k N 42/31)()2/(-=+ ,k=0,1,…,N/2-1

(3-12)

同理将x 2(r)按r 取奇、偶可分解成2个长N/4的子序列

x 5(l)= x 2(2l)

x 6(l) = x 2(2l+1)

推导可得:

)(k X W k X N k X k N 62/52)()2/(-=+ ,k=0,1,…,N/2-1

(3-14)

经过两次分解,可以将一个N/2点的DFT 再被拆分成为了两个N/4点的DFT 。依次拆分下去,由此可知,对于N=2M 点需要经过M-1次分解得到N/2个2点DFT ,如图3.3所示。

(完整版)FPGA温度测量设计毕业设计

毕业论文FPGA温度测量设计

摘要 温度作为一种最基本的环境参数,与人民的生活有着密切关系。温度的测量和控制在工业、农业、国防、医疗等各个领域中应用普遍。温度测量仪是一种常用的检测仪器。 本文首先介绍了DS18B20的工作原理,利用数字温度传感器DS18B20的数据接口特点,展示了FPGA(Field-Programmable Gate Array,即现场可编程逻辑门阵列)的使用方法以及Verilog HDL (HDL:Hardware Discription Language)语言的编程,完成了基本温度测量功能。给出了硬件电路和软件设计,此设备具有结构简单、转换速度快、精确性高,扩展性好等优点。 关键词:FPGA;DS18B20;测温;Verilog HDL语言

Design of temperature measurement based on FPGA Abstract Tenperture is one of the most basic environmental parameters, and it industry, agriculture, national defense,medical and other fields, temperature measurement and control was widely used.The temperature measuring instrument is a kind of common testing instrument. In this paper,first we introduces the work principle of DS18B20,and the characteristics of data interface of digital temperature sensor DS18B20, demonstrated Language)programming language,accomplished the function of temperature measurement. Given the .The device . Key Words: FPGA;DS18B20;Temperature measurement;Verilog HDL language 目录 中文摘要 (1) 英文摘要 (2) 1绪论 (1) 1.1题目背景意义 (1) 1.2工作内容 (2)

(完整版)基于FPGA的智能交通灯的设计毕业设计

目录 摘要 ............................................................. I 1 前言 (1) 2 交通红绿灯控制电路的发展与技术现状 (2) 2.1 交通控制系统以及交通红绿灯控制电路的发展现状 (2) 2.2 智能交通红绿灯控制电路技术的现状 (3) 3 VHDL、FPGA、Quartus ii简介 (5) 3.1 VHDL简介 (5) 3.1.1 VHDL简介 (5) 3.1.2 VHDL语言的特点 (6) 3.2 FPGA简介 (8) 3.2.1 PLD器件的设计特点 (8) 3.2.2 FPGA的基本结构 (10) 3.2.3 采用FPGA设计逻辑电路的优点 (11) 3.3 Quartus II 的简介 (12) 4 具体方案论证与设计 (13) 4.1 具体方案论证 (13) 4.2系统算法设计 (15) 4.3 具体电路原理图 (16) 4.4 电路仿真图 (16) 5 实验结果 (17) 总结 (18) 参考文献 ......................................... 错误!未定义书签。附录: .. (19)

基于FPGA的十字路口交通信号灯 摘要 本文主要介绍十字路口交通灯控制器的设计。首先,介绍交通控制系统以及交通红绿灯控制电路的发展现状;然后采用硬件描述语言进行的交通灯控制器设计。重点介绍了控制系统各部分的设计,以及各个模块之间的同步处理。为了克服交通信号灯控制系统传统设计方法的弊端,更加适应城镇交通现状,利用VHDL语言、采用层次化混合输入方式,设计了具有3种信号灯和倒计时显示器的交通信号灯控制系统,在 QuartusⅡ下进行仿真,并下载到FPGA中制作成实际的硬件电路进行了模拟运行.使用该方法设计的交通灯控制系统电路简单、运行可靠、易于实现,可实现对交通信号的控制和显示功能。 关键词 FPGA;QUARTUS ii;HDPLD;十字路口交通灯控制器; Based on FPGA intersection traffic lights Abstract This paper describes the design of intersection traffic signal controller.First, the introduction of traffic control systems and traffic light control circuit of the development status; then using language designed for the traffic light controller.Focus on various parts of the control system

单片机毕业设计完整版

安徽工业大学继续学院《单片机原理》期末课程设计 题目:单片机计时时钟设计与制作 专业:电气工程及其自动化 班级:14 电升 姓名:夏云飞 学号:1410102003035 指导老师:贺容波 成绩: ( 2015.12 )

目录 一、绪论 (1) 1.1单片机简介 (1) 二、硬件系统设计方案 (3) 2.1 时钟电路的设计 (3) 2.2复位电路的设计 (4) 2.3 数码显示电路的设计 (5) 2.4按键电路的设计 (7) 2.5 蜂鸣器电路的设计 (8) 2.6接线图 (9) 三、软件系统设计方案 3.1 模块化设计方案 (10) 3.2 主程序的设计 (11) 3.3 LED动态显示程序的设计 (14) 3.4 计时程序模块的设计 (17) 3.5 键盘程序的设计 (19) 3.6 蜂鸣器程序的设计 (22) 3.7整个程序 (23) 四、总结 总结与致谢 (28) 参考文献 (29) 使用说明 (29)

安徽工业大学继续教育学院《单片机原理》期末课程设计——单片机计时时钟设计与制作 一绪论 1.1单片机简介 1.1.1单片机的产生 计算机的发展经历了从电子管到大规模集成电路等几个发展阶段,随着大规模集成电路技术的发展,使计算机向性能稳定可靠、微型化、廉价方向发展,从而出现了单片微型计算机。 所谓单片微型计算机,是指将组成微型计算机的基本功能部件,如中央处理器CPU、存储器ROM和RAM、输入/输出(I/O)接口电路等集成在一块集成电路芯片上的微型计算机,简称单片机。总体来讲,单片机可以用以下“表达式”来表示:单片机=CPU+ROM+RAM+I/O+功能部件 1.1.2单片机的特点 随着现代科技的发展,单片机的集成度越来越高,CPU的位数也越来越高,已能将所有主要部件都集成在一块芯片上,使其应用模式多、范围广,并具有以下特点: ①体积小,功耗低,价格便宜,重量轻,易于产品化。 ②控制功能强,运行速度快,能针对性地解决从简单到复杂的各类控制问题,满足工业控制要求,并有很强的位处理和接口逻辑操作等多种功能。 ③抗干扰能力强,适用温度范围宽。由于许多功能部件集成在芯片内部,受外界影响小,故可靠性高。 ④虽然单片机内存储器的容量不可能很大,但存储器和I/O接口都易于扩展。 ⑤可以方便的实现多机和分布式控制。 1.1.3单片机的应用 单片机的应用具有面广量大的特点,目前它广泛的应用于国民经济各个领域,对技术改造和产品的更新起着重要作用。主要表现在以下几个方面: ①单片机在智能化仪器、仪表中的应用:由于单片机有计算机的功能,它不仅能完成测量,还既有数据处理、温度控制等功能,易于实现仪器、仪表的数字化和智能化。 ②单片机在实时控制中的应用:单片机可以用于各种不太复杂的实时控制系统中, 第1页

毕业设计说明范文(艺术类)

XXX 大 学 (空一格,行距:单倍行距) (空四格,行距:单倍行距) 题 目: 女性时尚高跟鞋系列设计 学 院: 美术学院 专业、年级: 艺术设计专业2007级 3 班(产品造型方向) 姓 名: 学 号: 指 导 教师: 职称: 完 成 时间: 年 月 日

声明 本人声明所呈交的设计作品及论文是本人在指导教师指导下进行的研究工作及取得的研究成果。据我所知,除了文中特别加以标注和致谢的地方外,论文中不包含其他人已经发表或撰写过的研究成果,也不包含为获得成都大学或其他教育机构的学位或证书而使用过的材料。与我一同工作的同志对本研究所做的任何贡献均已在论文中作了明确说明并表示谢意。 本设计及论文成果是本人在成都大学读书期间在指导教师指导下取得的,设计及论文成果归成都大学所有,特此声明。 学生签名: 指导教师签名:

具、装饰物品或日常生活用品都带有色彩。因此要对他们进行统一,使他们能在同一色调上进行细微的变化,达到和谐的效果。色彩的运用会受到人的年龄、性别等各种因素的影响,而人的心理感受及联想与色彩的关系问题也是息息相关的。色彩还能造成不同的空间感,每个房间都不可能单独存在一种色调,不同的区域对色彩的要求也不一样。房间布置时应选择适合的“快乐”色彩,会有助于下班回到家里后松弛紧张的神经,觉客厅、卧室,有时也会因居住者秉性不同而有差异。 (空一格) :色彩;空间;心理;关系

utilization (空一行) room places the furniture, the decoration goods or the daily life thing all have the color. Therefore must carry on the unification to them, enables them to carry on the slight change in the identical tone, achieves the harmonious effect. The color utilization can receive human's age, the sex and so on each kind of factor influence, but human's psychological feeling and the association and the color relational question also is closely linked.The color also can create the different sense of space, each room is all impossible alone to have one kind of tone, the different region is dissimilar to the color request. When room arrangement should choose suitably “joyful” the color, can be helpful after gets off work gets in the home to relax the tense nerve, thought the relaxation is comfortable.The different room function is different, the color should not be same; Is the same function room, like is similarly the living difference differently. (空一格) Color ;Space ;

基于单片机的毕业设计题目

单片机类 业设计 刷电子时钟的设计 刷全自动节水灌溉系统--硬件部 刷数 式温度计的设计 刷温度 控系统设计 刷基于单片机的语音提示测温系统的研究 刷简易无线电遥控系统 刷数 流 计 刷基于单片机的全自动洗衣机 刷水塔智能水 控 系统 刷温度箱模拟控 系统 刷超声波测距仪的设计 刷基于51单片机的L司号点阵显示屏系统的设计与实 16×16点阵显示屏 刷基于A切89分51单片机的数 电子时钟 刷基于单片机的步 电机的控 刷基于单片机的交流调 器设计 刷基于单片机的数 电压表的设计 刷单片机的数 钟设计 刷智能散热器控 器的设计 刷单片机打铃系统设计 刷基于单片机的交通信 灯控 电路设计 刷基于单片机的电话 程控 家用电器系统设计 刷基于单片机的安全 警器 刷基于单片机的 路抢答器设计 刷基于单片机的超声波测距系统的设计 刷基于MC分-51数 温度表的设计 刷电子体温计的设计 刷基于A切89C51的电话 程控 系统 刷基于A三R单片机幅度 调的号号分信 发生器 刷基于单片机的数控稳压电源的设计 刷基于单片机的室内一氧化碳 测及 警系统的研究 刷基于单片机的空调温度控 器设计 刷基于单片机的 编程多 能电子定时器 刷单片机的数 温度计设计 刷红外遥控密码锁的设计 刷基于61单片机的语音识别系统设计 刷家用 燃气体 警器的设计 刷基于数 温度计的多点温度检测系统 刷基于凌 单片机的语音实时采集系统设计 刷基于单片机的数 频率计的设计 刷基于单片机的数 电子钟设计 刷设施 境中温度测 电路设计 刷汽车倒车 撞 警器的设计 刷篮球赛计时记 器

刷基于单片机的家用智能总线式开关设计 刷设施 境中湿度检测电路设计 刷基于单片机的音乐合成器设计 刷设施 境中二氧化碳检测电路设计 刷基于单片机的水温控 系统设计 刷基于单片机的数 温度计的设计 刷基于单片机的火灾 警器 刷基于单片机的红外遥控开关设计 刷基于单片机的电子钟设计 刷基于单片机的红外遥控电子密码锁 刷大棚温湿度自动 控系统 刷基于单片机的电器遥控器的设计 刷单片机的语音 储与 放的研究 刷基于单片机的电 热炉温度控 系统设计 刷红外遥控电源开关 刷基于单片机的 频信 发生器设计 刷基于单片机的呼叫系统的设计 刷基于PIC16F876A单片机的超声波测距仪 刷基于单片机的密码锁设计 刷单片机步 电机转速控 器的设计 刷由A切89C51控 的太 能热水器 刷 盗与恒温系统的设计与 作 刷A切89分52单片机实验系统的开发与 用 刷基于单片机控 的数 气压计的设计与实 刷智能压力传感器系统设计 刷智能定时器 刷基于单片机的智能火灾 警系统 刷基于单片机的电子式转速 程表的设计 刷 交车汉 显示系统 刷单片机数 电压表的设计 刷精密三F转换器与MC分-51单片机的接口技术 刷基于单片机的居室安全 警系统设计 刷基于89C2051 IC卡读/写器的设计 刷PC机与单片机串行通信设计 刷球赛计时计 器设计 刷 系列PCL五层电 控 系统设计 刷自动起闭光控窗帘设计 刷单片机控 交通灯系统设计 刷基于单片机的电子密码锁 刷基于51单片机的多路温度采集控 系统 刷点阵电子显示屏-- 业设计 刷超声波测距仪-- 业设计 刷单片机对玩 小车的智能控 业设计论文 刷基于单片机控 的电机交流调速 业设计论文

fpga毕业设计开题报告.doc

fpga毕业设计开题报告 FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。以下是fpga毕业设计,欢迎阅读。 1选题目的意义和可行性 在这个时间就是金钱的年代里,数字电子钟已成为人们生活中的必需品。目前应用的数字钟不仅可以实现对年、月、日、时、分、秒的数字显示,还能实现对电子钟所在地点的温度显示和智能闹钟功能,广泛应用于车站、医院、机场、码头、厕所等公共场所的时间显示。随着现场可编程门阵列( field program-mable gate array ,FPGA) 的出现,电子系统向集成化、大规模和高速度等方向发展的趋势更加明显,作为可编程的集成度较高的ASIC,可在芯片级实现任意数字逻辑电路,从而可以简化硬件电路,提高系统工作速度,缩短产品研发周期。故利用FPGA这一新的技术手段来研究电子钟有重要的现实意义。设计采用FPGA现场可编程技术,运用自顶向下的设计思想设计电子钟。避免了硬件电路的焊接与调试,而且由于FPGA的I /O 端口丰富,内部逻辑可随意更改,使得数字电子钟的实现较为方便。本课题使用Cyclone EP1C6Q240的FPGA器件,完成实现一个可以计时的数字时钟。该系统具有显示时、分、秒,智能闹钟,按键实现校准时钟,整点报时等功能。满足人们得到精确时间以及时间提醒的需求,方便人们生活。 2 研究的基本内容与拟解决的主要问题 2.1研究的基本内容 数字时钟是采用电子电路实现对时间进行数字显示的计时

装置,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度不断提高。 数字时钟系统的实现有很多,可以利用VerilogDHL语言在Quartus II里实现时、分、秒计数的功能。在芯片内部存储器设24个字节分别存放时钟的时、分、秒信息。数字时钟首先是秒位(共8位)上按照系统时钟CLK进行计数,存储器内相应的秒值加1;若秒位的值达到60(110000),则将其清零,并将相应的分位(共8位)的值加1;若分值达到60(110000),则清零分位,并将时位(共8位)的值加1;若计数满24(100100)后整个系统从0开始重新进行计数。 本设计使用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能显示时,分,秒,以及通过按键实现校准时钟主要功能,使用LED液晶屏显示,分别显示时,分,秒。并且能够实现附加功能----闹铃设置功能和整点报时。 2.2 拟要解决的问题 本设计电子钟系统功能简单,用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能。 本课题主要解决以下问题: (1) 学习VerilogDHL语言、运用Quartus II环境进行程序设计。 用VerilogDHL语言能进行综合的电路设计,也可用于电路的仿真;设计的 规模是任意的,语言不对设计规模施加任何限制;内置各种基本的逻辑门。便于改进和扩充,有利于本系统的研制,并使其性能更完备的。

(完整版)华北理工大学本科毕业设计说明书格式示例

学号:[单击此处键入] 毕业设计说明书 G RADUATE D ESIGN 设计题目:[单击此处键入设计中文题名] —[单击此处继续键入副题名或删除此提示]学生姓名:[单击此处键入] 专业班级:[单击此处键入] 学院:[单击此处键入] 指导教师:[导师姓名][职称]

[副导师姓名][职称]XXXX年XX月XX日

摘要 随着互联网在国际上迅猛的发展,基于互联网的各种应用也日益受到人们的重视,特别是现代远程教育得到了巨大的发展。基于Web的考试系统正是在这种形势下应运而生的。尽管传统的考试形式应用还非常普遍,但伴随着远程教学的推广普及,作为远程教学系统子系统的在线考试系统呼之欲出。 …… (中文摘要约300汉字) 关键词考试系统;自动组卷;题库;遗传算法

Abstract With the rapid development of Internet in the international, more and more web-based applications were increasingly subject to people's attention in recent years, and the modern distance education tremendous development. The web-based examination system is emerged in such a situation. Although the traditional form of examination is also very common, with the expansion and universality of distance learning, the online examination system come into being as a subsystem of distance learning system. Automatic Test Paper is a crucial part in the examination system. With the rapid development of artificial intelligence, this issue a growing number of scientists concerned. Automatic Test Paper is the real follow a strategy of topics, and select a group of questions from the questions, makes them all the properties are within a certain range by volume to meet the expectations index. The core of the problem is multi-target strategy of choice. The design of database is also a very important part in the examination system, and the design of database is good or bad will directly affect the effectiveness of automatic Test Paper. This paper designs and implements an on-line examination system, and its functions include on-line examinations, test management and maintenance, automatic Test Paper, Grade examination papers and grade point, seeing results and information management features such as students etc. It focuses on the automatic Test Paper algorithm and the

基于FPGA的数字频率计设计毕业论文

武汉轻工大学 毕业设计外文参考文献译文本 2013届 原文出处:from Vin Skahill.VHDL for Programmable Logic page 76-88 毕业设计题目:基于FPGA的数字频率计设计 院(系):电气与电子工程学院 专业名称:电子信息科学与技术 学生姓名: 学生学号: 指导教师:

Introduction of digital frequency meter Digital Frequency is an indispensable instrument of communications equipment, audio and video, and other areas of scientific research and production . In addition to the plastic part of the measured signal, and digital key for a part of the show, all the digital frequency using Verilog HDL designed and implemented achieve in an FPGA chip. The entire system is very lean, flexible and have a modification of the scene. 1 、And other precision measuring frequency Principle Frequency measurement methods can be divided into two kinds: (1) direct measurement method, that is, at a certain time measurement gate measured pulse signal number. (2) indirect measurements, such as the cycle frequency measurement, VF conversion law. Frequency Measurement indirect measurement method applies only to low-frequency signals. Based on the principles of traditional frequency measurement of the frequency of measurement accuracy will be measured with the decline in signal frequency decreases in the more practical limitations, such as the accuracy and frequency of measurement not only has high accuracy, but also in the whole frequency region to maintain constant test accuracy. The main method of measurement frequency measurement Preferences gated signal GATE issued by the MCU, GATE time width on the frequency measurement accuracy of less impact, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M Signals are not overflow line, in accordance with the theoretical calculation GATE time can be greater than the width Tc 42.94 s, but due to the single-chip microcomputer data processing capacity constraints, the actual width of less time, generally in the range of between 0.1 s choice, that is, high-frequency, shorter gate;, low gate longer. This time gate width Tc based on the size of the measured frequency automatically adjust frequency measurement in order to achieve the automatic conversion range, and expanded the range of frequency measurement; realization of the entire scope of measurement accuracy, reduce the low-frequency measurement error. The design of the main methods of measuring the frequency measurement and control block diagram as shown in Figure 1. Figure 1 Preferences gated signal GA TE issued by the MCU, GA TE time width of less frequency measurement accuracy, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M

基于FPGA的四层电梯控制系统设计毕业设计论文

毕业论文Array 基于FPGA的四层电梯控制系统设计

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

(完整版)电大《毕业设计论文》参照

齐齐哈尔广播电视大学 毕业设计 题目: 学生所在单位: 姓名:教育层次:本科 学号:专业:土木工程 指导教师:分校:齐齐哈尔电大

本科生毕业设计任务书

摘要 本设计为楼毕业设计,建在区,建筑面积㎡,共层,地震设防烈度为度。 本设计依据设计要求和原始资料,运用力学钢筋混凝土、结构力学基本原理及土力学和对材料性质的深刻了解,遵守设计规则,保证建筑结构合理,所有材料的质量和强度合格,工艺良好。 本建筑设计分为三部分:建筑设计、结构设计、施工组织设计。建筑设计采取积极措施来增强建筑物的外表强度和坚固性,给人以心理上的安全感。另外,还要有艺术的美感,要有时代气息。结构体系是钢筋混凝土框架结构,用砖做墙。结构设计是使结构物得到足够的强度、刚度和韧性的过程。结构体系选择后,进行荷载分析和强度分析,同时考虑与建筑经济学的关系,把材料制做安装所需成本、所用时间,以及结构使用期间的维修联系起来。 施工组织设计:科学的依据分项工程,流水施工的方法,合理安排施顺序,注意安全措施,力求经济效益。 关键词:建筑面积,设防烈度,砖混结构

目录 2.3 2.4 2.5 第一章建筑设计部分 1.1设计依据 1、建设单位提供的小区规划平面图及竖向高程图一份。 2、建设单位提供的设计委托书一份。 3、建设单位提供的该地段岩土勘察报告一份。 4、国家现行的设计规范及有关标准规定。 《住宅设计规范》GB50096—1999 《住宅建筑规范》GB50368—2005 《黑龙江省民用建筑节能设计标准实施细则》DB23\120—2001 《民用建筑热工设计规范》GB50176—93 《民用建筑设计通则》GB50352—2005 《建筑设计防火规范》GB50016—2006 《民用建筑节能设计标准》(采暖居住建筑部分)JGJ26—95 5、本工程施工及验收均需按照国家现行建筑安装工程施工规范及验收规范施 工。 6、节能住宅节点做法及施工工艺要求均按02J—1标准图集设计施工,苯板与 苯板之间,与墙体之间采用塑料涨钉。 1.2工程概况 1.2.1工程特点 1、本工程为

电子类毕业设计题目

盼盼电子设计网本网站承接电子类毕业设计论文一条龙服务!!! 电子毕业设计:12 1.基于FPGA的PCI总线设计 2.基于FPGA的UART接口设计 3.基于单片机的数字电压表 4.单片机控制的全自动洗衣机毕业设计 电梯控制的设计与实现 6.恒温箱单片机控制 7.单片机脉搏测量仪 8.单片机控制步进电机毕业设计论文 9.函数信号发生器设计论文 变电所一次系统设计 11.报警门铃设计论文 单片机交通灯控制 13.单片机温度控制系统 通信系统中的接入信道部分进行仿真与分析 15.仓库温湿度的监测系统 16.基于单片机的电子密码锁 17.单片机控制交通灯系统设计 18.基于DSP的IIR数字低通滤波器的设计与实现

19.智能抢答器设计 20.基于LabVIEW的PC机与单片机串口通信设计的IIR数字高通滤波器 22.单片机数字钟设计 23.自动起闭光控窗帘毕业设计论文 24.三容液位远程测控系统毕业论文 25.基于Matlab的PWM波形仿真与分析 26.集成功率放大电路的设计 27.波形发生器、频率计和数字电压表设计 28.水位遥测自控系统毕业论文 29.宽带视频放大电路的设计毕业设计 30.简易数字存储示波器设计毕业论文 31.球赛计时计分器毕业设计论文 数字滤波器的设计毕业论文 机与单片机串行通信毕业论文 34.基于CPLD的低频信号发生器设计毕业论文 35. 基于labVIEW虚拟滤波器的设计与实现序列在扩频通信中的应用 37.正弦信号发生器 38.红外报警器设计与实现 39.开关稳压电源设计 40.基于MCS51单片机温度控制毕业设计论文

41.步进电动机竹竿舞健身娱乐器材 42.单片机控制步进电机毕业设计论文 43.单片机汽车倒车测距仪 44.基于单片机的自行车测速系统设计 45.水电站电气一次及发电机保护 46.基于单片机的数字显示温度系统毕业设计论文 47.语音电子门锁设计与实现 48.工厂总降压变电所设计-毕业论文 49.单片机无线抢答器设计 50.基于单片机控制直流电机调速系统毕业设计论文 51.单片机串行通信发射部分毕业设计论文 52.基于VHDL语言PLD设计的出租车计费系统毕业设计论文 53.超声波测距仪毕业设计论文 54.单片机控制的数控电流源毕业设计论文 55.声控报警器毕业设计论文 56.基于单片机的锁相频率合成器毕业设计论文 57.基于Multism/protel的数字抢答器 58.单片机智能火灾报警器毕业设计论 59.无线多路遥控发射接收系统设计毕业论文 60.单片机对玩具小车的智能控制毕业设计论文 61.数字频率计毕业设计论文 62.基于单片机控制的电机交流调速毕业设计论文

毕业设计说明完整版

本科毕业设计说明 题 目 《嘟噜嘟噜》 互动性儿童书籍中的衍生情景创意设计 姓 名 李晨 学 号 2011311201213 学 院 文法学院 专业班级 艺术设计1102 指导教师 姚克难 职 称 副教授 中国·武汉 二〇一五年六月

分类号密级 本科毕业论文 《嘟噜嘟噜》互动性儿童书籍中的衍生情景创意设计interactive children's books in the derivative scenario creative design study 学生姓名:李晨 学生学号:2010311201213 学生专业:艺术设计 指导教师:姚克难副教授 华中农业大学文法学院 二〇一五年六月

《嘟噜嘟噜》互动性儿童书籍的衍生情景创意设计 目录 摘要 ................................................................................................................................ II Abstract .............................................................................................................................. III 前言 ............................................................................................................................... I V 一、《嘟噜嘟噜》互动型儿童书籍概述 . (1) (一)《嘟噜嘟噜》儿童书籍情景设计选题背景及意义 (1) 1.选题背景 (1) 2.选题意义 (1) (二)中国儿童书籍情景研究现状以及存在的问题 (1) 1.中国儿童书籍情景设计现状 (1) 2.中国儿童书籍情景设计现状存在的问题 (2) 3.《嘟噜嘟噜》创意点 (2) 二、《嘟噜嘟噜》情景设计思路及过程 (4) (一)选题设定 (4) (二)主题风格设定 (4) (三)《嘟噜嘟噜》情景定案设计--海报设计 (5) 三、《嘟噜嘟噜》情景设计作品说明 (6) (一)《嘟噜嘟噜》情景设计作品内容 (6) 1.“嘟噜嘟噜咩乐园”“嘟噜嘟噜渡渡鸟” (6) 2.《嘟噜嘟噜》书籍情景设计中的互动形式 (7) (二)《嘟噜嘟噜》情景设计后期制作 (8) 1.书籍制作 (8) 2.周边产品制作 (8) (三)《嘟噜嘟噜》情景设计展示效果 (9) 总结 (11) 参考文献 (12) 致谢 (13)

污水处理厂毕业设计说明书 完整版可做毕业设计模版

给水排水工程专业 毕业设计任务书 设计题目:朔州市恢河污水处理厂设计 学生:李文鹃 指导教师:杨纪伟 完成日期:2006年2月日---2006年6月日 河北工程大学城建学院 给水排水教研室 2006年2月 一、设计题目:朔州市恢河污水处理厂设计 二、设计(研究)内容和要求:(包括设计或研究内容、主要指标与技术参数,并根 据课题性质对学生提出具体要求) 根据朔州市城市总体规划图和所给的设计资料进行城市污水处理厂7设计。设计内容如下: 1、完成一套完整的设计计算说明书。说明书应包括:污水水量的计算;设计方案对 比论证;污水、污泥、中水处理工艺流程确定;污水、污泥、中水处理单元构筑物的详细设计计算,(包括设计流量计算、参数选择、计算过程等,并配相应的单线计算草图),厂区总平面布置说明;污水厂环境保护方案;污水处理工程建设的技术经济初步分析等。 2、绘制图纸不得少于8张,所有图纸按2#图出。(个别图纸也可画成1#图)。此外, 其组成还应满足下列要求: (1)污水处理工艺及污水回用总平面布置图1张,包括处理构筑物、附属构筑物、配水、集水构筑物、污水污泥管渠、回流管渠、放空管、超越管渠、 空气管路、厂内给水、污水管线、中水管线、道路、绿化、图例、构筑物 一览表、说明等。 (2)污水处理厂污水和污泥及污水回用工程高程布置图1张,即污水、污泥、中水处理高程纵剖面图,包括构筑物标高、水面标高、地面标高、构筑物 名称等。 (3)污水总泵站或中途泵站工艺施工图1张。 (4)污水处理及污泥处理工艺中两个单项构筑物施工平面图和剖面图及部分大样图3~4张。 (5)污水回用工程中主要单体构筑物工艺施工图1~2张。 3、完成相关的外文文献翻译1篇(不少于5000汉字)。外文资料的选择在教师指导 下进行,严禁抄袭有中文译文的外文资料。

(完整版)框架结构毕业设计

第一章设计任书 1.1.1 工程概况 该工程为六层办公楼,主体为现浇钢筋混凝土框架结构,占地面积为1310㎡,建筑面积5240㎡,建筑物共6层,底层层高5.1m,标准层层高3.6m,顶层层高4.5m,总高度25.5m,室内外高差0.450m,基础顶面距离室外地面1.05m,基础采用柱下独立基础。 该办公楼主要以层为单元出租,每层为一个独立的单元,拥有接待室、会议室、档案室、普通办公室、专用办公室等。楼内设有两个电梯三个楼梯,主、次楼梯开间均为3m,进深均为6.6m,楼梯的布置均符合消防、抗震的要求。 1.1.2 设计条件 一、抗震设防烈度:7度设防,抗震设计分组为第一组,设计基本地震加速度值为0.1g; 二、基本风压: 0.55KNm2,B类粗糙度; 三、雪荷载标准值:0.2KNm2; 四、结构体系:现浇钢筋混凝土框架结构。 五、工程地质条件:拟建场地地形平坦,土质分布具体情况见表,II 类场地土。地下稳定水位距地表-9m,表中给定土层深度由自然地坪算起。建筑地点冰冻深度-0.5m。 表1-1 建筑地层一览表 序号岩土 深度 土层 深度 (m) 厚度 范围 (m) 地基土 承载力 (kPa) 压缩 模量 (mPa) 1 杂填土0.0—1. 2 1.2 --- ---

2 粉土 1.2—2.0 0.8 200 5.0 3 中粗砂 2.0—4.8 2.8 300 9.5 4 砾砂4.8—15. 10.2 350 21.0 1.2 建筑设计任务及要求 一、基本要求: 满足建筑功能要求,根据已有的设计规范,遵循建筑设计适用、经济合理、技术先进、造型美观的原则,对建筑方案分析其合理性,绘制建筑施工图。 二、规定绘制的建筑施工图为: 1、底层、标准层及顶层平面图:比例 1:150(图1-1) 2、主要立面图:比例 1:150(图1-2,图1-3) 3、屋面排水布置图:比例 1:150 4、剖面图:比例 1:150 5、墙身大样及节点详图:比例 1:100及1:10

毕业设计说明书

毕业设计说明书 设计题目:家居设计之现代简约风格作者姓名:xxx 班级学号:装饰艺术09A1 091043034 系部:艺术系 专业:装饰艺术设计 指导教师:xXx x 年x 月x日

家居设计之现代简约风格 摘要:现代简约风格是近来比较流行的一种风格,其室内布置整体设计就两个字概括“简约”。没有繁琐的装饰,不要附加物,只要能表达出意图即可,材料多为磨砂玻璃、不锈钢和石膏板等,地面、天花板均朴素、淡雅,无一多余饰物,显得简洁、舒适、大方,令人赏心悦目,这样的设计风格崇尚少即是多,装饰少,功能多,十分符合现代人渴求简单生活的心理。因而很受那些追求时尚又不希望受约束的青年人所喜爱。 关键词:设计风格简约材料心理关系

目录 摘要…………………………………………………………………………( 2 ) 前言…………………………………………………………………………( 4 ) 1.现代简约设计风格整体介绍………………………………………………( 5 ) 1.1 简约风格的基本特点…………………………………………………( 5 ) 1.2 简约风格中的色彩搭配体现和分析………………………………( 6 ) 2. 课题研究的背景及意义…………………………………………………( 7 ) 2.1研究背景………………………………………………………………( 7 ) 2.2 研究意义………………………………………………………………( 7 ) 3.设计概述……………………………………………………………………( 8 ) 3.1 设计理念与原则………………………………………………………( 8 ) 3.2 客厅的设计……………………………………………………………( 8 ) 3.3 厨房的设计……………………………………………………………( 9 ) 3.4 主卧的设计……………………………………………………………( 9 ) 3.5书房的设计……………………………………………………………( 10 ) 3.6卫生间的设计…………………………………………………………( 10 ) 4.其他作品欣赏…………………………………………………………………( 10)结论……………………………………………………………………………( 11 )致谢……………………………………………………………………………( 12 ) 参考文献………………………………………………………………………( 13 ) 图录……………………………………………………………………………( 14 )

相关文档
相关文档 最新文档