文档库 最新最全的文档下载
当前位置:文档库 › (多功能数字时钟设计与实现)毕业设计

(多功能数字时钟设计与实现)毕业设计

(多功能数字时钟设计与实现)毕业设计
(多功能数字时钟设计与实现)毕业设计

湖南省娄底职业技术学院

学生毕业设计(论文)

题目:多功能数字钟设计与制作姓名:谷维

学号:206080135

系部:电子信息工程系

专业:应用电子技术

指导老师:李伟英

开题时间:

完成时间:

湖南省娄底职业技术学院教务处制

目录

【摘要】 (3)

前言 (3)

1.数字钟的组成和基本工作原理 (4)

1.1振荡器 (5)

1.2分频器电路 (5)

1.3计数器 (5)

1.4译码显示电路 (5)

1.5校时电路 (5)

1.6报时电路 (5)

2.设计步骤与方法 (6)

2.1振荡电路 (6)

2.2分频器电路 (6)

2.3计数器 (7)

2.3.1计数器六十进制的接法 (7)

2.3.2二十四进制计数器的接法 (8)

2.4译码显示电路 (9)

2.5校时电路 (11)

2.6整点报时电路 (12)

2.6.1控制门电路部分 (12)

2.6.2音响电路部分 (13)

3.组装与调试 (15)

3.1接通电源逐步调试 (15)

3.2按顺序对电路连线和调试 (15)

4.结束语 (15)

5.附录 (16)

6.参考文献 (16)

多功能数字钟设计与制作

学生:谷维

指导老师:李伟英

【摘要】:

多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、闹钟设置、报时功能、校正作用。走时准确、显示直观、精度、稳定等优点。电路装置十分小巧,安装使用也方便。同时在日期中,它以其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱。

关键字:晶体振荡器、分频器、计数器、显示器和校时电路

前言

20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。数字钟已成为人们日常生活中:必不可少的必需品,广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点,,因此在许多电子设备中被广泛使用。

电子钟是人们日常生活中常用的计时工具,而数字式电子钟又有其体积小、重量轻、走时准确、结构简单、耗电量少等优点而在生活中被广泛应用,因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。

本次设计以数字电子为主,分别对1S时钟信号源、秒计时显示、分计时显示、小时计时显示、整点报时及校时电路进行设计,然后将它们组合,来完成时、分、秒的显示并且有整点报时和走时校准的功能。并通过本次设计加深对数字电子技术的理解以及更熟练使用计数器、触发器和各种逻辑门电路的能力。电路主要使用集成计数器,例如CD4060、CD4518,译码集成电路,例如CD4511,LE D 数码管及各种门电路和基本的触发器等,电路使用5号电池共电,很适合在日常生活中使用。

本次毕业设计得到娄底职业技术学院电子信息工程系李伟英老师的大力支持,她提出了许多的意见和建议,在此表示衷心的感谢。

由于本人能力有限,在设计中难免会出现错误与不足,希望各位老师及读者给予批评并提出宝贵意见。

1.数字钟的组成和基本工作原理

数字钟实际上是一个对标准频率进行计数的计数电路。它的计时周期是24小时,由于计数器的起始时间不可能与标准时间(如北京时间)一致所以采用校准功能和报时功能。

数字钟电路主要由译码显示器、校准电路、报时电路、时计数、分计数、秒计数器,振荡电路和单次脉冲产生电路组成。其中电路系统由秒信号发生器、“时”、“分”、“秒”计数器、译码器及显示器、校准电路、整点报时电路组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现,将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个时脉冲信号,该信号将被送到时计数器。时计数器采用24进制计时器,可实现对一天24小时的计时。译码显示电路将“时”、“分”、“秒”计数器的输出状态通过显示驱动电路,七段显示译码器译码,在经过六位LE D七段显示器显示出来。整点报时电路时根据计时系统的输出状态产生一个脉冲信号,然后去触发一音频发生器实现低、高音报时。校准电路时用来对“时”、“分”、“秒”显示数字进行校对调整的。如图1所示多功能数字钟的组成框图。

译码驱动译码驱

时十位

计数

分频器

电路

分频器

电路

振荡器电

译码驱动译码驱动译码驱动译码驱

时个位

计数

分十位

计数

分十位

计数

秒十位

计数

秒十位

计数

校时电

校分电

图1数字钟组成框图

1.1振荡器

振荡器是数字钟的核心,其的作用是产生一个频率标准时间频率信号,然后再由分频器分秒脉冲,因此,振荡器频率的精度与稳定度基本决定了数字电子钟的质量。振荡器的稳定度及频率的精确度决定了数字钟计时的准确程度,通常选用石英晶体构成振荡器电路。一般来说,振荡器的频率越高,计时精度越高。采用石英晶体振荡器经过分频得到这一个频率稳定准确的32768Hz的方波信号。保证数字钟的走时准确及稳定。

1.2分频器电路

分频器电路将32768Hz的高频方波信号经32768(215)次分频后得到1H z 的方波信号供秒计数器进行计数。分频器实际上也就是计数器。本次设计是运用了CD4060分频器进行分频,分频电路可提供512HZ和1024H Z的频率,在经CD4040分频器进行一分频,为此电路输送一秒脉冲。

1.3计数器

时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,通常用2个十进位计数器的集成片组成,其中”秒”个位是十进制,秒十位为六进制。可采用反馈归零变”秒”十位为六进制,实现秒的六十进制。”分”计数器原理也一样。而根据设计要求。

1.4译码显示电路

是将数字钟的计时状态直观清晰地反映出来,被人们的视觉器官所接受。显示器件选用LE D七段数码管。在译码显示电路输出信号的驱动下,显示出清晰、直观的数字符号.并且为保证数码管正常工作提供足够的工作电流。

1.5校时电路

实际的数字钟电路由于秒信号的精确性和稳定性不可能做到完全(绝对)准确无误,加之电路中其它原因,数字钟总会产生走时误差的现象。因此,电路中就应该有校准时间功能的电路。

1.6报时电路

当数字钟显示整点时,应能报时。要求当数字钟的“分”和“秒”计数器计到59分50秒时,驱动音响电路,要求每隔一秒音响电路呜叫一次,每次叫声的时间持续1秒,10秒钟内自动发出五声呜叫,且前四声低,最后一声高,正好报整点。

2.设计步骤与方法

2.1振荡电路

晶体振荡器是构成数字式时钟的核心,它保证了时钟的走时准确及稳定。如图2所示电路通过非门构成的输出为方波的数字式晶体振荡电路,这个电路中,非门U1与晶体、电容和电阻构成晶体振荡器电路,U2实现整形功能,将振荡器输出的近似于正弦波的波形转换为较理想的方波。输出反馈电阻R1为非门提供偏置,使电路工作于放大区域,即非门的功能近似于一个高增益的反相放大器。电容C1、C2与晶体构成一个谐振型网络,完成对振荡频率的控制功能,同时提供了一个180度相移,从而和非门构成一个正反馈网络,实现了振荡器的功能。由于晶体具有较高的频率稳定性及准确性,从而保证了输出频率的稳定和准确。晶体XTAL1的频率选为32768H z。其中C1的值取5~20pF,C2为30p F。C1作为校正电容可以对温度进行补偿,以提高频率准确度和稳定度。由于电路的输入阻抗极高,因此反馈电阻R1可选为10MΩ。较高的反馈电阻有利于提高振荡频率的稳定性。

图2振荡电路图

2.2分频器电路

由数字钟的晶体振荡器输出频率较高,为了得到1Hz的秒信号输入,需要对振荡器的输出信号进行分频。实现分频器的电路是计数器电路,一般采用多级2进制计数器来实现。例如,将32767H z的振荡信号分频为1H z的分频倍数为32767(215),即实现该分频功能的计数器相当于15极2进制计数器。本实验中采用CD4060来构成分频电路。CD4060在数字集成电路中可实现的分频次数最高,而且CD4060还包含振荡电路所需的非门,使用更为方便。CD4060计数为最高为14级2进制计数器,首先由U1(CD4060)的Q14(第3脚)产生2Hz的振荡信号,然后由二进制计数器CD4040和两个U3A(74LS20),U3B(74L S20)组成120计数器分频,从U3B的输出端输出一个的分脉冲,作为分钟计数器的分钟信号,按键开关S作为分钟调时有手动脉冲开关,每按动一次,从U3B的输出端输出一个脉冲,同时U2的Q1管脚输出秒脉冲信号驱动发光二极管LE D1,L E D2,作为秒指示(因为2H z的信号经1位二进制计数器分频后为1Hz)。如图3所示。

图3分频电路

2.3计数器

秒脉冲信号经过级计数器,分别得到“秒”个位、十位,“分”个位、十位以及“时”个位、十位的计时。“秒”、“分”计数器为60秒为1分、60分为1小时、24小时为1天的计数周期,分别组成两个六十进制(秒、分)、一个二十四进制(时)的计数器。将这些计数器适当地连接,就可以构成秒、分、时的计数,实现计时的功能进制计数器。它们都可以用两个“二-十进制”计数器来实现。六十进制计数器和二十四进制计数器均可由双BC D 加法计数器CC 4518组成。因为一片CC 4518内含有两个十进制计数器,因此用一片CC 4518就可以构成六十进制或二十四进制计数器了。选取CC 4518和与非门CC 4511、采用反馈复位法构成的六十进制和二十四进制加法计数器电路分别见图4(a)和图4(b )所示。

1Cp 11EN 21Cr 71Q131Q241Q351Q46Vss

8Vdd 162Cr 152Q4142Q3132Q2122Q1112EN 102CP

9

A 4

518&

1

&

VCC

进位脉冲

5V

来自底位的进位脉冲

图4(a)计数器六十进制

2.3.1计数器六十进制的接法

图4(a)个位为十进制.故EN=1,C r=0,计数到9以后自动清零,向高位进位信号采用Q4Q3Q2Q1=1001,将Q4,Q1送入与非门,与非门的输出可以做进位信号。因为:当Q4,Q1不同时为1,Y为1当Q4,Q1同时为1时,Y为0,同时计数器到9后自动清零,这时Y又变为1,即出现了一个上升沿。

十位接成六进制,利用Q4Q3Q2Q1=0110的信号清零,同时结合高位进位。2.3.2二十四进制计数器的接法

个位为进制计数器,当计数器计数到24时,即十位为0010,个位为0100时,同时清零,达到了二十四进制计数器的目的,即高位的Q2,底位的Q3送入与非门做清零信号,如图4(b)二十四进制计数器。

4(b)二十四进制计数器

在这两个电路中,计数器的控制脉冲由CP端输入,1EN接高电平;计数器的控制脉冲由EN端输入,状态如图5看出:当“计数器的状态由1001向0000转换时,1Q4(2E N)正好是一个下降沿,高位的计数器开始计数。在图4(a)中,将2Q3和2Q2相与后接至CR端,构成了六十进制计数器,在图4(b)中,将2Q2和1Q3相与后接至CR端构成了二十四进制计数器。为了保证电路能可靠地工作,在“秒”、“分”、“时”计数器反馈复位支路中,加了一个RS触发器,如图7所示。

CP

Q1

Q2

Q3

Q4 2E N(1Q4)

1

1

1图5计数器状态

图6“秒”进位电路

各功能模块中用到的门电路可以采用4011(四2输入与非门)来实现,其外部引线排列见图7所示。将与非门组成的RS触发器的输出接至计数器的复位端,展宽了复位和进位信号的脉冲宽度,使其在本位可靠地复位的同时向高位提供了进位触

2.4译码显示电路

数码管是数码显示器的俗称。常用的数码显示器有半导体数码管,荧光数码管,辉光数码管和液晶显示器等。译码和数码显示电路是将数字钟和计时状态直观清晰地反映出来,被人们的视觉器官所接受。显示器件选用LE D七段数码管。在译码显示电路输出的驱动下,显示出清晰、直观的数字符号。本设计所选用的是半导体数码管,是用发光二极管(简称LE D)组成的字形来显示数字,七个条形发光二极管排列成七段组合字形,便构成了半导体数码管。半导体数码管有共阳极和共阴极两种类型。共阳极数码管的七个发光二极管的阳极接在一起,而七个阴极则是独立的。共阴极数码管与共阳极数码管相反,七个发光二极管的阴极接在一起,而阳极是独立的。

当共阳极数码管的某一阴极接低电平时,相应的二极管发光,可根据字形使某几段二极管发光,所以共阳极数码管需要输出低电平有效的译码器去驱动。共阴极数码管则需输出高电平有效的译码器去驱动。

当数字钟的计数器在CP 脉冲韵作用下,按60秒为1分、60分为1小时,‘24小时为1天的计数规律计数时,就应将其状态显示成清晰的数字符号。这就需要将计数器的状态进行译码并将其显示出来。我们选用的计数器全部是二-十进制集成片,“秒”、“分”、“时”的个位和十位的状态分别由集成片中的四个触发器的输出状态来反映的。每组(四个).输出的计数状态都按BC D 代码以高低电平来表现。因此,译码显示电路选用BC D-7段锁存译码/驱动器CC 4511。七段显示数码管的外部引线排列见图8a )、(b )。现以60进制“秒”计时电路为例,将计数器、译码显示器和显示数码管连在一起,其电路示意图见图9

a b c

d

e f g 1

56

10

e d c p d b

a f g 图8(a)译码器外引线排列

图8(b)二极管示意图

图9译码显示器和显示数码管

2.5校时电路

实际的数字钟表电路由于秒信号的精确性不可能做到完全(绝对)准确无误,加之电路中其它原因,数字钟总会产生走时误差的现象。因此,电路中就应该有校准时间功能的电路。校准的方法很多,常用的有“快速校时法”。现在以“分计时器”的校时电路为例,简要说明它的校时原理,见图10,与非门1,2构成的双稳态触发器,可以将1Hz的“秒”信号和“秒计数器的进位信号”送至“分计数器的CP端”。两个信号中究竟选哪个送入由开关K控制,它的工作过程是这样的:当开关K置“B”端时,与非门1输出低电平,门2输出高电平。“秒计数器进位信号”通过门4和门5送至“分计数器的CP端”,使“分计数器”正常工作;需要校正“分计时器”时,将开关K置“A”端,与非门1输出高电平,门2输出低电平,门4封锁“秒计数器进位信号”,而门3将1H z的CP信号通过门3和门5送至“分计时器”的CP控制端,使“分计数器”在“秒”信号的控制下“快速”计数,直至正确的时间,再将开关置于“B”端,以达到校准时间的目的

图10校时电路

图11整点报时电路图

2.6整点报时电路

数字钟整点报时是最基本的功能之一。现在设计的电路要求在离电路应在整点前10秒钟内开始整点报时,即当时间在59分51秒到59分59秒期间时,报时电路报时控制信号每隔1秒钟鸣叫一次,每次持续时间为1秒,共响5次,前四次为低音500H z,最后一声为高音1000H z。整点报时电路的电路原理图如图12所示。

2.6.1控制门电路部分

图11中与非门1,3,5的输入信号Q4,Q3,Q2,Q1分别表示“分十位”“分个位”“秒十位”和“秒个位”的状态,下标中D,C,B,A分别表示组成计数器的四个触发器的状态。

Y1=Q C4.QA4.QD3.Q A3,Y2=Y1.QC2.QA2.

Y3=Y2.Q D1.F1(1KH z),Y4=Y2.QD1.Q A.F2(500H z).

每当’分’和’秒’计数到59分50秒时,

QD4.Q C4.Q B4.QD4.=0101,QD3,Q C3,Q B3,QD3=1001,

QD2,Q C2,Q B2,QA2=0101,QD1,QC1,QB1,Q A1=0000

可见,从59分50秒到59分59秒之间,只有秒个位计数,而’分’有十位,’分’的个位,秒的十位中QC4=Q A4=QD3=QA3=Q C2=Q A2=1不变.将它们相”与”,即图11中Y2=Q C4.QA4.QD3.Q A3.QC2.QA2作为控制信号,去控制Y3和Y4.在每小最最后10秒Y2=1,Y3输入端加有频率为2048Hz的信号B(可取自分频器CD的Q4端),同时又受QD1,Q A1的控制,即C就是在59S时,QD1Q A1C=1,将Y4关闭,Y3打开,B信号通过Y3.Y4的输入端加有频率为1024H z的信号A,同时又受QD1,Q A1的控制,即在51,53,55,57s时,C.QD1.Q A1=1,将Y3关闭Y4打开,A信号通过Y4,则

Z=C Q D1QA1B+C.Q D1,Q A1A,即可实现前四响为1024H z的底音,后一响为2048H z 的高音,最后一响完毕正好整点。

QD1QC2QB3QA1D1QA1

00000

00011

00100

00111

01000

01011

01100

01111

2.6.2音响电路部分

音响电路中采用射极输出器,推动8Ω的喇叭,三极管基极串接lkΩ限流电阻,是为了防止电流过大损坏喇叭,集电极串接51Ω限流电阻,.三极管选用高频小功率管即可。当Y5端为高电平时,三极管T导通,有电流流经喇叭,使之发出鸣叫声。通过以上分析可知,当计时至59分51、53、55、57秒时,频率为500H z的信号通过喇叭,当计时至59分59秒时,频率为1000H z的信号通过喇叭,因而发出四低一高的声音,音响结束正好为59分60秒。

图12数字钟的布线图

3.组装与调试

在实验板上组装组装电子时钟时,应严格按图连接引脚,注意走线整齐,布局合理,器件的悬空端,清0端,置1端要正确处理。插拔集成芯片时要用力均匀,避勉芯片管脚在插拔过程中变弯,折断。

3.1接通电源逐步调试

如果出现错误,可先检查各芯片的电源线是否接上,并保证有正常的工作电压。按图9电路在数字电路实验箱上连线。它是由十进制加法计数器CC4518、BC D-7段锁存译码/驱动器CC4511和LE D七段数码管组成。观察在CP作用下数码管的显示情况。需要注意的是,CC4511正常工作时,为高电平,LE应为低电平。

按图4电路在实验箱上连线。因为CC4518内含有两个同步十进制计数器,CC4011内含有四个2输入与非门,因此分别用一片CC4518和CC4011就够了。按图4(a)电路连线,输出可接发光二极管。观察在CP作用下(C P为1H z可直接由实验箱连续脉冲输出端提供)输出端发光二极管的状态变化情况,验证是否为六十进制计数器。按图4(b)电路连线验证该电路是否为二十四进制计数器。其次安装的是晶体振荡电路电路。按图2电路连线,输出接发光二极管,观察发光二极管的显示情况。

3.2按顺序对电路连线和调试

按图10所示在数字电路实验箱上对校时电路连线。将电路输出(门5)接发光二极管。拨动开关,观察在CP(1H z)作用下,输出端发光二极管的显示情况。根据开关的不同状态,输出端输出频率之比约为1:60,“开关’’可以取自实验箱上的逻辑电平开关。参照图11,对整点报时电路的安装因为报时电路发出声响的时间是59分51秒至59分60秒之间,59分的状态是不变的。

图12中的Y2=1不变。测试时,lk H z的CP信号可由实验箱上获得,500H z 的CP信号可将lk H z的信号经D触发器二分频得到。QA l QD l端可接至十进制计数器的相应输出端。观察计数器在CP信号的作用下,喇叭发出声响的情况。将时间调整到59分50秒,观察报时电路能否准确报时。如果不正常,则需检查相应的CC4518芯片。

4.结束语

数字钟的设计涉及到模拟电子与数字电子技术。其中绝大部分是数字部分、逻辑门电路、数字逻辑表达式、计算真值表与逻辑函数间的关系、编码器、译码器显示等基本原理。数字钟是典型的时序逻辑电路,包含了计数器,二进制数,六进制数,六十进制,二十四进制,十进制数的概念。数字钟的设计与制作可以进一步加深对数字电路的了解,通过本次电子电路的设计,为数字电路的制作提供思路。我学到了很多东西,最重要的是去做好一个事情的心态,也许在你拿到题目时会觉得困难,但是只要你充满信心,一步一个脚印去实现它,就肯定会完成的。从这次设计中我觉得我学到了以下东西:对于数字逻辑一些基本知识有了更深的了解,了解很多集成门电路芯片的使用,增强了面对困难勇于面对,勇于解决的信心。以往每做一次课程设计,感觉自己的收获总会不少,这次也不例外。做课程设计是为了让我们对平时学习的理论知识与实际操作相结合,在理论和实

验教学基础上进一步巩固已学基本理论及应用知识并加以综合提高,学会将知识应用于实际的方法,提高分析和解决问题的能力。通过这次对数字钟的设计与制作,让我了解了设计电路的程序,也让我了解了关数字钟的原理与设计理念,要实现电路功能总要先设计,成功之后才实际接线的。但是最后的成品却不一定与理想的完全一样,因为,再实际接线中有着各种各样的条件制约着。所以,在设计时应考虑两者的差异,从中找出最适合的设计方法。

在做课程设计的过程中,我深深地感受到了自己所学到知识的有限,明白了只学好课本上的知识是不够的,要通过图书馆和互联网等各种渠道来扩充自己的知识。在实验过程中我们曾经遇到过问题。一个是在电路接好之后计数的显示结果不正确,经分析,检查后我们请老师帮我们检查了,知道了是电路中有些不懂的地方,改正了错误。我们遇到的第二个问题是有线路太多,容易混乱。所以我们没有一时检查出问题,但是我们没有沮丧。在使用万用表测量各个接点电压后我但是从中我们学习到了如何对待遇到的困难,进一步培养了我们一丝不苟的科学态度和不厌其烦的耐心。在设计的过程中我和我们组的成员始终在一起,我们互相讨论互相合作,使得我们的设计得以顺利完成,体会到了合作的力量,感受到了团队精神。所有的这些心得会对我以后的学习和工作有帮助作用,忠心感谢李老师在实验过程中给我们的谆谆教导;忠心感谢学校给我提供这次毕业设计的机会。

5.附录

共阴型七段译码器(4511)6快、计数器(4518)3快

14位二进制计数器/分频器和振荡器[(CD4060),(CD4040),(32768Hz)]

8Ω喇叭、扭子开关、微动开关、各类电阻、三极管(3DG4)、

电容(3300p F,0.01,0.1,1,10μF等),电位器(1KΩ,2.2KΩ等)、

6.参考文献

(1)康华光.电子技术基础.高等教育出版社2002

(2)陈大钦.电子技术基础实验.高等教育出版社2005.12

(3)焦素敏.数字电子技术基础.人民邮电出版社2005.8

(4)高吉祥.电子技术基础实验与课程设计.电子工业出版社.2002.

(5)吕思忠.数子电路实验与课程设计.哈尔滨工业大学出版社.2001.

(6)谢自美.电子线路设计、实验、测试.华中理工大学出版社.2003.

(7)徐丽香.数字电子技术.电子工业出版社2006.9

多功能数字时钟设计

课程设计报告 学生姓名:刘佳 学 号:2017307010102 学院:电气工程学院 班级:通信171 题目:多功能数字时钟设计 指导教师:刘晓峰职称: 高级实验师指导教师:杨修宇职称: 实验师 2018 年 12 月 28 日

目录 1. 设计要求 (3) 2. 设计原理及框图 (3) 2.1 模块组成 (3) 3. 器件说明 (4) 4. 设计过程 (8) 4.1显示电路模块设计 (8) 4.2时钟脉冲电路模块设计 (9) 4.3计时模块电路设计 (10) 4.4计时校时控制模块电路设计 (11) 4.5整点报时与定点报时模块电路设计 (12) 5. 仿真调试过程 (13) 6. 收音机原理及焊接调试 (14) 6.1收音机原理 (14) 6.2收音机焊接工艺要求 (16) 6.3收音机调试过程 (16) 7. 设计体会及收获 (17)

1. 设计要求 (1)以24小时为一个计时周期,稳定的显示时、分、秒。 (2)当电路发生走时误差时,可以对所设计的时钟进行校时。 (3)电路有整点报时功能。报时声响为四低一高,最后一响高音正好为整点。 (4)电路具有闹钟功能,当闹钟所设定时间与时钟计时相同时,发出提示音, 时长为一分钟。 2. 设计原理及框图 2.1 模块组成 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组。如图1所示。 图1 多功能数字时钟原理框图 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组成。时钟脉冲电路模块由振荡电路与分频电路组成,为数字时钟提供秒脉冲信号、定点整点报时信号以及调试信号。计时电路包括“秒”计时、“分”计时与“时”计时电

数字电子时钟毕业设计

数字电子时钟毕业设 计 Revised on November 25, 2020

毕业设计(论文) 题目:多功能数字电子时钟 毕业时间:二O一二年七月 学生姓名:梁宇 指导教师:林喆 班级: 09电缆(1)班 2011 年 10月18日 摘要 数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。振荡器产生的时钟信号经过分频器形成秒脉冲信号,秒脉冲信号输入计数器进行计数,并把累计结果以“时”、“分”、“秒”的数字显示出来。秒计数器电路计满60后触发分计数器电路,分计数器电路计满60后触发时计数器电路,当计满24小时后又开始下一轮的循环计数。一般由振荡器、分频器、计数器、译码器、数码显示器等几部分组成。 振荡电路:主要用来产生时间标准信号,因为时钟的精度主要取决于时间标准信号的频率及稳定度,所以采用石英晶体振荡器。 分频器:因为振荡器产生的标准信号频率很高,要是要得到“秒”信号,需一定级数的分频器进行分频。 计数器:有了“秒”信号,则可以根据60秒为1分,24小时为1天的进制,分别设定“时”、“分”、“秒”的计数器,分别为60进制,60进制,24进制计数器,并输出一分,一小时,一天的进位信号。

译码显示:将“时”“分”“秒”显示出来。将计数器输入状态,输入到译码器,产生驱动数码显示器信号,呈现出对应的进位数字字型。 由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路可以对分和时进行校时。另外,计时过程要具有报时功能,当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次。 为了使数字钟使用方便,在设计上使用了一个变压器和一个整流桥来实现数字钟电能的输入,使得可以方便地直接插入220V的交流电就可以正常地使用了。 关键词数字钟振荡计数校正报时 目录 1 设计目的 (4) 2 设计任务 (4) 设计指标 (4) 设计要求 (4) 3数字电子钟的组成和工作原理 (4) 数字钟的构成 (4) 原理分析 (4) 数字点钟的基本逻辑功能框图 (5) 4.数字钟的电路设计 (5) 电源电路的设计 (5) 秒信号发生器的设计 (6) 4.2.1方案一 (6) 4.2.2方案二 (6)

eda课程设计报告多功能数字钟设计大学论文

湖北大学物电学院EDA课程设计报告(论文) 题目:多功能数字钟设计 专业班级: 14微电子科学与工程 姓名:黄山 时间:2016年12月20日 指导教师:万美琳卢仕 完成日期:2015年12月20日

多功能数字钟设计任务书 1.设计目的与要求 了解多功能数字钟的工作原理,加深利用EDA技术实现数字系统的理解 2.设计内容 1,能正常走时,时分秒各占2个数码管,时分秒之间用小时个位和分钟个位所在数码管的小数点隔开; 2,能用按键调时调分; 3,能整点报时,到达整点时,蜂鸣器响一秒; 4,拓展功能:秒表,闹钟,闹钟可调 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。

目录(四号仿宋_GB2312加粗居中) (空一行) 1 引言 (1) 2 总体设计方案 (1) 2.1 设计思路 (1) 2.2总体设计框图 (2) 3设计原理分析 (3) 3.1分频器 (4) 3.2计时器和时间调节 (4) 3.3秒表模块 (5) 3.4状态机模块 (6) 3.5数码管显示模块 (7) 3.6顶层模块 (8) 3.7管脚绑定和顶层原理图 (9) 4 总结与体会 (11)

多功能电子表 摘要:本EDA课程主要利用QuartusII软件Verilog语言的基本运用设计一个多功能数字钟,进行试验设计和软件仿真调试,分别实现时分秒计时,闹钟闹铃,时分手动较时,时分秒清零,时间保持和整点报时等多种基本功能 关键词:Verilog语言,多功能数字钟,数码管显示; 1 引言 QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL 以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程,解决了传统硬件电路连线麻烦,出错率高且不易修改,很难控制成本的缺点。利用软件电路设计连线方便,修改容易;电路结构清楚,功能一目了然 2 总体设计方案 2.1 设计思路 根据系统设计的要求,系统设计采用自顶层向下的设计方法,由时钟分频部分,计时部分,按键调时部分,数码管显示部分,蜂鸣器四部分组成。这些模块在顶层原理图中相互连接作用 3 设计原理分析 3.1 分频器 分频模块:将20Mhz晶振分频为1hz,100hz,1000hz分别用于计数模块,秒表模块,状态机模块 module oclk(CLK,oclk,rst,clk_10,clk_100); input CLK,rst; output oclk,clk_10,clk_100;

多功能数字时钟的设计

多功能数字钟设计与制作 一、引言 中国是世界上最早发明计时仪器的国家。有史料记载,汉武帝太初年间(纪元前104-101年)由落下闳创造了我国最早的表示天体运行的仪器——浑天仪。东汉时期(公元130年)张衡创造了水运浑天仪,为世界上最早的以水为动力的观测天象的机械计时器,是世界机械天文钟的先驱。盛唐时代,公元725年张遂(又称一行)和梁令瓒等人创制了水运浑天铜仪,它不但能演示天球和日、月的运动,而且立了两个木人,按时击鼓,按时打钟。第一个机械钟的灵魂——擒纵器用于计时器,这是中国科学家对人类计时科学的伟大贡献。它比十四世纪欧洲出现的机械钟先行了六个世纪。 第一只石英钟出现在二十世纪二十年代,从三十年代开始得到了推广,从六十年代开始,由于应用半导体技术,成功地解决了制造日用石英钟问题,石英电子技术在计时领域得到了广泛的应用。并取代机械钟做了更精确的时间标准。早在1880年,法国人皮埃尔·居里和保罗·雅克·居里就发现了石英晶体有压电的特性,这是制造钟表“心脏”的良好材料。科学家以石英晶体制成的振荡计时器和电子钟组合制成了石英钟。经过测试,一只高精度的石英钟表,每年的误差仅为 3~5秒。1942年,著名的英国格林尼治天文台也开始采用了石英钟作为计时工具。在许多场合,它还经常被列为频率的基本标准,用于日常测量与检测。大约在 1970 年前后,石英钟表开始进入市场,风靡全球。随着科学的进步,精密的电子元件不断涌现,石英钟表也开始变得小巧精致,它既是实用品,也是装饰品。它为人们的生活提供方便,更为人们的生活增添了新的色彩。在现行情况下根据简单实用强的、走时准确进行设计。而实验证明,钟表的振荡部分采用石英晶体作为时基信号源时,走时更精确、调整更方便。钟是一种计时的器具,它的出现开拓了时间计量的新里程。提起时钟大家都很熟悉,它是给我们指明时间的一种计时器,并且我们每天都要用到它。二十世纪八十年代中国的钟表业经历了一场翻天覆地的大转折。其表现在三个方面: 1)从生产机械表转为石英电子表; 2)曾占据中国消费市场四十多年的大型国有企业突然被刚刚冒起的“组业”

多功能数字钟的VHDL设计

毕业设计论文 多功能数字钟的VHDL设计 系 xxxxxxxxxxxxxxxxx 专业 xxxxxxxxxxxxx 学号 xxxxxxxxxxx 姓名 xxxxxxx 班级 xxxxxxxxxxxx 指导老师 xxxxxxxxxx 职称 指导老师职称 毕业设计时间 2009年11月——2010年1月

摘要:应用VHDL语言编程,进行了多功能数字钟的设计,并在MAX PLUSⅡ环境下通过了编译、仿真、调试。 关键词:VHDL;EDA;数字钟;仿真图 0.引言 随着科学技术的迅猛发展,电子工业界经历了巨大的飞跃。集成电路的设计正朝着速度快、性能高、容量大、体积小和微功耗的方向发展。基于这种情况,可编程逻辑器件的出现和发展大大改变了传统的系统设计方法。可编程逻辑器件和相应的设计技术体现在三个主要方面:一是可编程逻辑器件的芯片技术;二是适用于可逻辑编程器件的硬件编程技术,三是可编程逻辑器件设计的EDA开发工具,它主要用来进行可编程逻辑器件应用的具体实现。在本实验中采用了集成度较高的FPGA 可编程逻辑器件, 选用了VHDL硬件描述语言和MAX + p lusⅡ开发软件。VHDL硬件描述语言在电子设计自动化( EDA)中扮演着重要的角色。由于采用了具有多层次描述系统硬件功能的能力的“自顶向下”( Top - Down)和基于库(L ibrary - Based)的全新设计方法,它使设计师们摆脱了大量的辅助设计工作,而把精力集中于创造性的方案与概念构思上,用新的思路来发掘硬件设备的潜力,从而极大地提高了设计效率,缩短 了产品的研制周期。MAX + p lusⅡ是集成了编辑器、仿真工具、检查/分析工具和优化/综合工具的这些所有开发工具的一种集成的开发环境,通过该开发环境能够很方便的检验设计的仿真结果以及建立起与可编程逻辑器件的管脚之间对应的关系。 1. EDA简介 20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL 完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

多功能数字电子钟的设计

学号20103010342 毕业设计说明书 设计题目多功能数字电子钟的设计 系部机械电子系 专业机电一体化 班级机电103 班 姓名关付玲 指导教师肖玉玲 2012年 10月 13日

摘要 摘要:数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时,整点报时和校时的功能。在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。实验证明该设计电路基本上能够符合设计要求! 关键词:计数器;译码显示器;校时电路;

Abstract Abstract:Digital clock is a "time", "Sub", "second" displays the organ in human visual mechanism. Its time for a period of 24 hours, show full scale 23:59 for 59 seconds. A basic digital clock circuits consists of second signal generator, "hours, minutes, seconds," counters, decoders and display components. Because of its pure digital hardware design, compared with the traditional mechanical watch, it has left, presents an intuitive, non-mechanical transmission device and so on. This digital clock used in the design of digital circuits on the "time" and "min", "second" display and adjustment. Through the use of integrated digital chip circuit structures to achieve appropriate functionality. Specific use of 555 oscillator, 74LS90 and non-, exclusive-or gate integrated circuits and so on. The circuits with timing, the whole point of time and error correction capabilities. In the analysis of the entire module and overall circuit diagram is painted, simulation to emulation and modules record the observed results. Experimental proof of the design circuit can basically meet the design requirement! Key words:Counter ,ten decoding display , citcuit Shool

数字时钟的毕业设计

数字时钟的毕业设计 目录 摘要 (Ⅰ) ABSTRACT (Ⅱ) 第1章绪论.......................................... 错误!未定义书签。1 1.1数字时钟的背景和意义 (1) 1.2数字时钟设计思路 (1) 1.3数字时钟的主要容 (1) 第2章数字时钟模块设计 (2) 2.1数字时钟秒脉冲信号的设计 (2) 2.1.1 秒时钟信号发生器的设计 (2) 2.1.2 秒时钟电路的设计 (3) 2.1.3 分时钟电路的设计 (4) 2.2 二十四进制计数器设计 (4) 第3章校时电路......................................... 错误!未定义书签。第4章整点报时电路..................................... 错误!未定义书签。第5章闹钟电路........................................ 错误!未定义书签。结论................................................ 错误!未定义书签。致谢................................................ 错误!未定义书签。参考文献................................................ 错误!未定义书签。

绪论 数字钟是一种用数字电路技术实现时、分、秒计时的装置,钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播。而且与传统的机械钟相比,它具有走时准确、显示直观、无机械传动、无需人的经常调整等优点。数字钟的设计涉及到模拟电子与数字电子技术,其中绝大部分是数字部分、逻辑门电路、数字逻辑表达式、计算真值表与逻辑函数间的关系、编码器、译码器显示等基本原理。现在主要用各种芯片实现其功能,更加方便和准确。Multisim10.0作为一种高效的设计与仿真平台。其强大的虚拟仪器库和软件仿真功能,为电路设计提供了先进的设计理念和方法。 1. 设计思路 1).由秒时钟信号发生器、计时电路和校时电路构成电路。 2).秒时钟信号发生器可由555定时器构成。 3).计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 4).校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。 2. 主要容 熟悉Multisim10.0仿真软件的应用;设计一个具有显示、校时、整点报时和定时功能的数字时钟,.能独立完成整个系统的设计;用Multisim10.0仿真实现数字时钟的功能。

EDA课程设计——多功能数字钟

哈尔滨工业大学(威海) 电子学课程设计报告带有整点报时的数字钟设计与制作 姓名: 蒋栋栋 班级: 0802503 学号: 080250331 指导教师: 井岩

目录 一、课程设计的性质、目的和任务 (3) 二、课程设计基本要求 (3) 三、设计课题要求 (3) 四、课程设计所需要仪器 (4) 五、设计步骤 (4) 1、整体设计框图 (4) 2、各个模块的设计与仿真 (4) 2.1分频模块 (4) 2.2计数器模块 (6) 2.3控制模块 (10) 2.4数码管分配 (13) 2.5显示模块 (14) 2.6报时模块 (16) 六、调试中遇到的问题及解决的方法 (18) 七、心得体会 (18)

一、课程设计的性质、目的和任务 创新精神和实践能力二者之中,实践能力是基础和根本。这是由于创新基于实践、源于实践,实践出真知,实践检验真理。实践活动是创新的源泉,也是人才成长的必由之路。 通过课程设计的锻炼,要求学生掌握电路的一般设计方法,具备初步的独立设计能力,提高综合运用所学的理论知识独立分析和解决问题的能力,培养学生的创新精神。 二、课程设计基本要求 掌握现代大规模集成数字逻辑电路的应用设计方法,进一步掌握电子仪器的正确使用方法,以及掌握利用计算机进行电子设计自动化(EDA)的基本方法。 三、设计课题要求 (1)构造一个24小时制的数字钟。要求能显示时、分、秒。 (2)要求时、分、秒能各自独立的进行调整。 (3)能利用喇叭作整点报时。从59分50秒时开始报时,每隔一秒报时一秒,到达00分00秒时,整点报时。整点报时声的频率应与其它的报时声频有明显区别。 #设计提示(仅供参考): (1)对频率输入的考虑 数字钟内所需的时钟频率有:基准时钟应为周期一秒的标准信号。报时频率可选用1KHz和2KHz左右(两种频率相差八度音,即频率相差一倍)。另外,为防止按键反跳、抖动,微动开关输入应采用寄存器输入形式,其时钟应为几十赫兹。 (2)计时部分计数器设计的考虑 分、秒计数器均为模60计数器。 小时计数为模24计数器,同理可建一个24进制计数器的模块。 (3)校时设计的考虑 数字钟校准有3个控制键:时校准、分校准和秒校准。 微动开关不工作,计数器正常工作。按下微动开关后,计数器以8Hz频率连续计数(若只按一下,则计数器增加一位),可调用元件库中的逻辑门建一个控制按键的模块,即建立开关去抖动电路(见书70页)。 (4)报时设计的考虑

多功能数字钟设计

摘要 本实验是利用QuartusII 7.0软件设计一个多功能数字钟,进行试验设计和仿真调试,实现了计时,校时,校分,清零,保持和整点报时等多种基本功能,并下载到SmartSOPC实验系统中进行调试和验证。此外还添加了显示星期,闹钟设定等附加功能,使得设计的数字钟的功能更加完善。 关键字:QuartusII、数字钟、多功能、仿真 Abstract This experiment is to design a digital clock which is based on Quartus software and in which many basic functions like time-counting,hour-correcting,minute-correcting,reset,time-holding and belling on the hour. And then validated the design on the experimental board. In addition, additional functions like displaying and resetting the week and setting alarm make this digital clock a perfect one. Key words: Quartus digital-clock multi-function simulate

目录 一.设计要求 (4) 二.工作原理 (4) 三.各模块说明 (5) 1)分频模块 (5) 2)计时模块 (7) 3)动态显示模块 (9) 4)校分与校时模块 (10) 5)清零模块 (11) 6)保持模块 (12) 7)报时模块 (12) 四.扩展模块 (12) 1)星期模块 (12) 2)闹钟模块 (13) 五.总电路的形成 (16) 六.调试、编程下载 (17) 七.实验感想 (17) 八.参考文献 (20)

多功能数字钟的设计与实现

多功能数字钟的设计与实现一、实验目的 1.掌握数字钟的设计原理。 2.用微机实验平台实现数字钟。 3.分析比较微机实现的数字钟和其他方法实现的数字钟。 二、实验内容与要求 使用微机实验平台实现数字钟。 1.基本要求如下: 1) 24 小时制时间显示。 2) 3) 4)可以随时进行时间校对。 整点报时。 闹钟功能,要求设置起闹时间时,不影响时钟的正常走时。 2.提高要求 1) 2)校时时相应位闪烁。能够设置多个起闹点。 三、实验报告要求 1.设计目的和内容 2.总体设计 3.硬件设计:原理图(接线图)及简要说明 4.软件设计框图及程序清单 5.设计结果和体会(包括遇到的问题及解决的方法) 四、系统总体设计 根据设计要求,初步思路如下: 1)计时单元由定时/计数器8253的通道0 来实现。定时采用硬件计数和软件技术相结合的方式,即通过8253产生一定的定时时间,然后再利用软件进行计数,从而实现24小时制定时。8253定时时间到了之后产生中断信号,8253在中断服务程序中实现时、分、秒的累加。 2)时间显示采用实验平台上的6个LED数码管分别显示时、分、秒,采用动态扫描方式实现。 3)校时和闹铃定时通过键盘电路和单脉冲产生单元来输入。按键包括校时键、闹钟定时键、加1键和减1键等。

4) 报警声响用蜂鸣器产生,将蜂鸣器接到 8255 的一个端口,通过输出电平的高低来 控制蜂鸣器的发声。 系统硬件设计主要利用微机实验平台上的电路模块。硬件电路主要由键盘电路、 单脉冲产生单元、8253 定时计数器、8255 并行接口单元、8259 中断控制器、LED 显 示电路和蜂鸣器电路等等。系统的硬件电路设计框图如图 1 所示。 图 1 硬件电路框图 五、硬件设计 根据设计思路,硬件电路可通过实验平台上的一些功能模块电路组成,由于实验平台 上的各个功能模块已经设计好,用户在使用时只要设计模块间电路的连接,因此,硬件电 路的设计及实现相对简单。完整系统的硬件连接如图 2 所示。硬件电路由定时模块、按键 模块、数码管显示模块和蜂鸣器模块组成。 Q6 路 图 2 系统硬件电路图 微机系统 8253 8255 8259 数码管显示 电路 键盘电路 蜂鸣器电路 单脉冲发生 单元 单脉冲发 生单元 键盘电路 8255 PA0 PB0 PC 8253 OUT0 GATE0 Clk0 地址 CS1 译码 CS2 电路 CS3 CS4 数码管显示模块 8259 IRQ2 IRQ3 IRQ5 PC BUS 蜂鸣器 单脉冲发 生单元

基于FPGA的数字时钟设计毕业设计论文

摘要 本设计为一个多功能的数字时钟,具有时、分、秒计数显示功能,以24小时循环计数;具有校对功能。本设计采用EDA技术,以硬件描述语言Verilog HDL为系统逻辑描述语言设计文件,在QUARTUSII工具软件环境下,采用自顶向下的设计方法,由各个基本模块共同构建了一个基于FPGA的数字钟。 系统由时钟模块、控制模块、计时模块、数据译码模块、显示以及组成。经编译和仿真所设计的程序,在可编程逻辑器件上下载验证,本系统能够完成时、分、秒的分别显示,按键进行校准,整点报时,闹钟功能。 关键词:数字时钟,硬件描述语言,Verilog HDL,FPGA

Abstract The design for a multi-functional digital clock, with hours, minutes and seconds count display to a 24-hour cycle count; have proof functions function. The use of EDA design technology, hardware-description language VHDL description logic means for the system design documents, in QUAETUSII tools environment, a top-down design, by the various modules together build a FPGA-based digital clock. The main system make up of the clock module, control module, time module, data decoding module, display and broadcast module. After compiling the design and simulation procedures, the programmable logic device to download verification, the system can complete the hours, minutes and seconds respectively, using keys to cleared , to calibrating time. And on time alarm and clock for digital clock. Keywords:digital clock,hardware description language,Verilog HDL,FPGA

基于VHDL的多功能数字钟设计报告

基于VHDL的多功能数字钟 设计报告 021215班 卫时章 02121451

一、设计要求 1、具有以二十四小时制计时、显示、整点报时、时间设置和闹钟的功能。 2、设计精度要求为1秒。 二、设计环境:Quartus II 三、系统功能描述 1、系统输入:时钟信号clk采用50MHz;系统状态及较时、定时转换的控制信号为k、set,校时复位信号为reset,均由按键信号产生。 2、系统输出:LED显示输出;蜂鸣器声音信号输出。 3、多功能数字电子钟系统功能的具体描述如下: (一)计时:正常工作状态下,每日按24h计时制计时并显示,蜂鸣器无声,逢整点报时。 (二)校时:在计时显示状态下,按下“k”键,进入“小时”待校准状态,若此时按下“set”键,小时开始校准;之后按下“k”键则进入“分”待校准状态;继续按下“k”键则进入“秒”待复零状态;再次按下“k”键数码管显示闹钟时间,并进入闹钟“小时”待校准状态;再次按下“k”键则进入闹钟“分”待校准状态;若再按下“k”键恢复到正常计时显示状态。若校时过程中按下“reset”键,则系统恢复到正常计数状态。 (1)“小时”校准状态:在“小时”校准状态下,显示“小时”的数码管以2Hz 闪烁,并按下“set”键时以2Hz的频率递增计数。 (2)“分”校准状态:在“分”校准状态下,显示“分”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。 (3)“秒”校准状态:在“秒复零”状态下,显示“秒”的数码管以2Hz闪烁,并以1Hz的频率递增计数。 (4)闹钟“小时”校准状态:在闹钟“小时”校准状态下,显示“小时”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。 (5)闹钟“分”校准状态:在闹钟“分”校准状态下,显示“分”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。 (三)整点报时:蜂鸣器在“59”分钟的第“51”、“53”、“55”、“57”秒发频率为500Hz的低音,在“59”分钟的第“59”秒发频率为1000Hz的高音,结束时为整点。 (四)显示:采用扫描显示方式驱动4个LED数码管显示小时、分,秒由两组led灯以4位BCD 码显示。 (五)闹钟:闹钟定时时间到,蜂鸣器发出频率为1000Hz的高音,持续时间为60秒。 四、各个模块分析说明 1、分频器模块(freq.vhd) (1)模块说明:输入一个频率为50MHz的CLK,利用计数器分出 1KHz的q1KHz,500Hz的q500Hz,2Hz的q2Hz和1Hz的q1Hz。 (2)源程序: library ieee;

多功能数字钟开题报告

毕业设计(论文)开题报告

1 选题的背景和意义 1.1 选题的背景 21世纪,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。 时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。手表当然是一个好的选择,但是,什么时候到达所需要的时间却难以判断。所以,要制作一个定时系统。随时提醒这些容易忘记时间的人。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。随着人类科技文明的发展,人们对于时钟的要求在不断地提高。时钟已不仅仅被看成一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的功能。高精度、多功能、小体积、低功耗,是现代时钟发展的趋势。在这种趋势下,时钟的数字化、多功能化已经成为现代时钟生产研究的主导设计方向。 1.2 国内外研究现状及发展趋势 单片机自20世纪70年代问世以来,以其极高的性能价格比,受到人们的重视和关注,应用很广、发展很快。单片机具有体积小、重量轻、抗干扰能力强、环境要求不高、价格低廉、可靠性高、灵活性好、开发较为容易。 目前单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。电子钟是一种利用数字电路来显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无机械传动装置等优点,因而得到广泛应用。随着人们生活环境的不断改善和美化,在许多场合可以看到数字电子钟。在城市的主要营业场所、车站、码头等公共场所使用lcd数字电子钟已经成为一种时尚。但目前市场上各式各样的lcd数字电子钟大多数用全硬件电路实现,电路结构复杂,功率损耗大等缺点。因此有必要对数字电子钟进行改进。

多功能数字钟(课程设计版)

题目: 多功能数字钟电路设计 器材:74LS390,74LS48,数码显示器BS202, 74LS00 3片,74LS04,74LS08,电容,开关,蜂鸣器,电阻,导线 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 时间安排: 指导教师签名:年月日 系主任(或责任教师)签名:年月日

索引 摘要 (4) Abstract (4) 1系统原理框图 (6) 2方案设计与论证 (7) 2.1时间脉冲产生电路 (7) 2.2分频器电路 (10) 2.3时间计数器电路 (11) 2.4译码驱动及显示单元电路 (12) 2.5校时电路 (13) 2.6报时电路 (14) 3单元电路的设计 (15) 3.1时间脉冲产生电路的设计 (15) 3.2计数电路的设计 (16) 3.2.1 60进制计数器的设计 (16) 3.2.2 24进制计数器的设计 (16) 3.3 译码及驱动显示电路 (17) 3.4 校时电路的设计 (18)

3.5 报时电路 (19) 3.6电路总图 (21) 4仿真结果及分析 (22) 4.1时钟结果仿真 (22) 4.2 秒钟个位时序图 (22) 4.3报时电路时序图 (23) 4.4测试结果分析 (23) 5心得与体会 (24) 6参考文献 (24) 附录1原件清单 (26) 附录2部分芯片引脚图与功能表 (27)

数字钟的设计与仿真

目录 摘要 (3) 前言 (4) 第一章理论分析 1.1 设计方案 (5) 1.2 设计目的 (5) 1.3 设计指标 (6) 1.4 工作原理及其组成框图 (6) 第二章系统设计 2.1 多谐振荡器 (8) 2.2 计数器 (10) 2.3 六十进制电路 (12) 2.4 译码与LED显示器 (13) 2.5 校时电路 (14) 2.6 电子时钟原理图 (15) 2.7 仿真与检测 (16) 2.8 部分元器件芯片结构图 (18) 2.9 误差分析 (19) 第三章小结 心得体会 (20) 致谢 (21) 参考文献 (22)

摘要 时钟,自从它发明的那天起,就成为人类的朋友,但随着时间的推移,科学技术的不断发展,人们对时间计量的精度要求越来越高,应用越来越广。怎样让时钟更好的为人民服务,怎样让我们的老朋友焕发青春呢?这就要求人们不断设计出新型时钟。在这次的毕业设计中,针对一系列问题,设计了如下电子钟。 本系统由555多谐振荡器,分频器,计数器,译码器,LED显示器和校时电路组成,采用了CMOS系列(双列直插式)中小规模集成芯片。总体方案手机由主题电路和扩展电路两大分组成。 其中主体电路完成数字钟的基本功能,扩展电路完成数字钟的扩展功能,进行了各单元设计,总体调试。 关键词:555多谐振荡器;分频器;计数器;译码器;LED显示器

前言 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。数字钟已成为人们日常生活中:必不可少的必需品,广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点,因此在许多电子设备中被广泛使用。 电子钟是人们日常生活中常用的计时工具,而数字式电子钟又有其体积小、重量轻、走时准确、结构简单、耗电量少等优点而在生活中被广泛应用,因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。 本次设计以数字电子为主,分别对1S时钟信号源、秒计时显示、分计时显示、小时计时显示、整点报时及校时电路进行设计,然后将它们组合,来完成时、分、秒的显示并且有走时校准的功能。并通过本次设计加深对数字电子技术的理解以及更熟练使用计数器、触发器和各种逻辑门电路的能力。电路主要使用集成计数器,例如CD4060、CD4518,译码集成电路,例如CD4511,LED数码管及各种门电路和基本的触发器等,电路使用5号电池共电,很适合在日常生活中使用。

多功能数字钟数字逻辑系统设计

题目:多功能数字钟 院校:华侨大学厦门工学院 专业:09级电气工程及自动化5班 学生姓名:黄宇鸿 学号:0902105013 指导老师:解源老师 课题时间:2011/5/14~2011/6/3 2011年5月31日

设计任务书 设计目的: 使我们在学习完《电子技术基础》上,更加牢固深化和巩固数字逻辑电路的基本概念;系统地掌握逻辑电路的分析和设计方法;熟悉一些典型的、有代表性的线路及其应用特性;通过此次亲自动手设计课程实验,培养设计与调试数字电路的能力。为学好后续课作好准备。 设计内容: 1、设计具有“分”、“时”十进制数字显示的电子钟。 2、小时的计时为24进制,分(秒)的计时为60进制。 3、具有时间校对功能,分别对“分”、“时”进行校对。 4、采用74系列中小规模集成器件。 所需器件:(个人用) 1、集成芯片:74LS48(4片)、74LS160(4片)、74LS00(2片)、74LS04(1片)、NE555(1片)、共阴七段数码管(4个)。 2、元器件:电容:电解电容(10μF 1个)、瓷片电容(10nF 3个)。 3、电阻:3.3kΩ(2个)、15kΩ(1个)、68kΩ(1个)。 4、其他器件:面包板(4块)、导线(红、白线若干)、LED红色发光二极管(1个)。 5、制作工具:镊子,钳子,万用表,试验用可调直流电源。 设计正文 一、系统概述: 1.1设计背景与意义: 随着人类的进步科学技术的发展,时间观也越来越被人们重视,而能够准确的知道时间能够提高人们的工作效率,能更好的在规定的时间内完成所规定的工作。因此能有随时随地的知道当前时间是非常重要的。随着科学技术的发展,单片机技术的不断完善,使得数字钟得设计变得更加灵便、更加简单、功能更加完善、计时更加准确。 1.2总体方案设计: 根据系统设计的要求和设计思路,确定该系统的设计结构。如下图。硬件电路主要由74系列集成块、集成定时器NE555、共阴七段数码管显示、LED二

数电课程设计多功能数字钟的电路设计

课程设计任务书 学生姓名: XXX 专业班级: 指导教师:工作单位: 题目: 多功能数字钟电路设计 初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 指导教师签名:年月日 系主任(或责任教师)签名:年月日 多功能数字钟电路设计 摘要 (1) Abstract (2) 1系统原理框图 (3) 2方案设计与论证 (4)

2.1时间脉冲产生电路 (4) 2.2分频器电路 (6) 2.3时间计数器电路 (7) 2.4译码驱动及显示单元电路 (8) 2.5校时电路 (8) 2.6报时电路 (10) 3单元电路的设计 (12) 3.1时间脉冲产生电路的设计 (12) 3.2计数电路的设计 (12) 3.2.1 60进制计数器的设计 (12) 3.2.2 24进制计数器的设计 (13) 3.3译码及驱动显示电路 (14) 3.4 校时电路的设计 (14) 3.5 报时电路 (16) 3.6电路总图 (17) 4仿真结果及分析 (18) 4.1时钟结果仿真 (18) 4.2 秒钟个位时序图 (18) 4.3报时电路时序图 (19) 4.4测试结果分析 (19) 5心得与体会 (20) 6参考文献 (21) 附录1原件清单 (22) 附录2部分芯片引脚图与功能表 (23) 74HC390引脚图与功能表 (23)

基于51单片机的数字钟毕业设计

大学电子信息学院 电子系统综合设计课程论文 基于51单片机的数字钟设计 2010 年 6 月 20日

目录 1 作品的背景与意义 (1) 2 功能指标设计 (1) 3 作品方案设计 (2) 4 软件设计 (3) 附录1 系统电路图 (6) 附录2 系统软件代码 (7)

1 作品的背景与意义 时钟,自从它发明的那天起,就成为人类的朋友,但随着时间的推移,科学技术的不断发展,人们对时间计量的精度要求越来越高,应用越来越广。怎样让时钟更好的为人民服务,怎样让我们的老朋友焕发青春呢?这就要求人们不断设计出新型时钟。 现今,高精度的计时工具大多数都使用了石英晶体振荡器,由于电子钟,石英表,石英钟都采用了石英技术,因此走时精度高,稳定性好,使用方便,不需要经常调校,数字式电子钟用集成电路计时时,译码代替机械式传动,用LED显示器代替指针显示进而显示时间,减小了计时误差,这种表具有时,分,秒显示时间的功能,还可以进行时和分的校对,片选的灵活性好。现在我们利用单片机实现数字时钟计时功能的主要容,其中AT89C51是核心元件同时采用数码管动态显示“时”,“分”,“秒”的现代计时装置。与传统机械表相比,它具有走时精确,显示直观等特点。它的计时周期为24小时,显满刻度为“23时59分59秒”,另外具有校时功能,断电后有记忆功能,恢复供电时可实现计时同步等特点,除此外还实现了万年历和闹钟等的功能。 时钟电路在计算机系统中起着非常重要的作用,是保证系统正常工作的基础。在一个单片机应用系统中,时钟有两方面的含义:一是指为保障系统正常工作的基准振荡定时信号,主要由晶振和外围电路组成,晶振频率的大小决定了单片机系统工作的快慢;二是指系统的标准定时时钟,即定时时间,它通常有两种实现方法:一是用软件实现,即用单片机部的可编程定时/计数器来实现,但误差很大,主要用在对时间精度要求不高的场合;二是用专门的时钟芯片实现,在对时间精度要求很高的情况下,通常采用这种方法,典型的时钟芯片有:DS1302,DS12887,X1203等都可以满足高精度的要求。 单片计算机即单片微型计算机。(Single-Chip Microcomputer ),是集CPU、RAM、ROM、定时、计数和多种接口于一体的微控制器。他体积小,成本低,功能强,广泛应用于智能产品和工业自动化上。而51单片机是各单片机中最为典型和最有代表性的一种。所以综上所述,此次实验中所完成的数字钟有着强大的功能和良好的市场前景,复合电子类产品的发展趋势。 2 功能指标设计 2.1 基本功能

相关文档
相关文档 最新文档