文档库 最新最全的文档下载
当前位置:文档库 › 数电复习题

数电复习题

数电复习题
数电复习题

1、用代数法将下面的函数化为最简与或式:

F=C·[ABD

++(B+C)D]

A+

BC

BD

F(ABCD)=BC

A+ AB+A D+C+BD

AB

F+

=

B

+

+

A

C

C

C

B

1

F=ABC+AC D+A C+CD

E)

D,

A

D

B

C,

C

+

=

A

+

+

L(A,+

+

B,

+

B

D

C

CD

B

B

DE

B

C

C

D

D

BC

D

C

B

2、用卡诺图法将下列函数化简为最简与或式:

F(A、B、C、D)=∑m(0,2,4,5,7,13)+∑d(8,9,10,11,14,15)

F(ABCD)=∑m(0,1,4,9,12,13)+∑d(2,3,6,10,11,14,)

∑∑

(2d

,

,

m

C

F

A

B

D

,

,9,7,6,5(

11

=)

)

)

+

14

12

,

,4,3,1,0(

F(ABCD)=∑m(0,2,4,5,12,13)+∑d(8,9,10,11,14,15)

D)

10

,9,8,7,6,3,2(

F2m

,

(A,

B,

C,

,

15

11

,

=)

,

13

14

3、分析题

1试分析题图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。

2、写出题图所示逻辑电路的输出H 和Y 的逻辑函数表达式,列出真值表,说明电路的

逻辑功能。

3、试分析题图所示逻辑电路,写出逻辑表达式和真值表,并描述其功能。

4、试分析题36图所示逻辑电路,写出逻辑表达式和真值表,并描述其功能。

式并化简,列出真值表

6、.74161组成的电路如题图所示,分析电路,并回答以下问题

(1)画出电路的状态转换图(Q3Q2Q1 Q0);

(2)说出电路的功能。(74161的功能见表)

7、74161组成的电路如题37图所示,分析电路,并回答以下问题。

(1)画出电路状态转换图(Q3Q2Q1 Q0);

(2)说出电路的功能。(74161的功能见表)

8、分析如题图所示由边沿JK 触发器组成的时序逻辑电路,写出电路的驱动方程、状态

方程,画出状态转换图。

9、分析如题图所示由边沿D触发器组成的时序逻辑电路,写出电路的驱动方程、状态方

程,画出状态转换图。

10、分析题图由边沿JK 触发器构成的时序逻辑电路,写出驱动方程、输出方程、状态方

程,画出状态转换图。

11、如题图所示电路,写出电路的激励方程、状态方程,并画出状态转换图。

12、分析题图所示电路的逻辑功能,要求写出驱动方程、状态方程、状态转换图。

Z 1

Z 0

Z 2

13、分析题图所示4选1数据选择器74LS153组成的组合逻辑电路,写出F(A,B)的函数

表达式。74LS153的功能表如题37表所示。

14、74HC151的连接方式和各输入端的输入波形如题图所示,写出输出Y 关于最小项i

m 和数据输入端i D 的表达式,并根据各输入端的输入波形画出输出端Y 的波形。

A A E

C B

A 0

A 2

A Y

15、试说明题图所示的用555 定时器构成的电路功能,求出U T+、U T-和ΔU T,并画出

其输出波形。

设计题(每小题10分,共20分)

1.试设计一个检测电路。该电路的输入是一位8421BCD码。当输入的8421BCD码所对应的十进制数符能被5整除时,输出为1,否则输出为0。用与非门实现之。

2、试设计一个8421BCD码的检码电路。要求当输入量DCBA≤2或≥7时,电路输出F为

高电平,否则为低电平。用与非门设计该电路,写出F表达式。

3、用与非门设计四变量的多数表决电路。当输入变量A、B、C、D有3个或3个以上为1

时输出为1,输入为其它状态时输出为0。要求:

(1)列出真值表

(2)写出逻辑函数,并化简(要求用与非门实现)

4、.试用D 功能触发器,完成题图所示的状态转换的同步时序逻辑电路(不画逻辑图)。

要求:(1)列出状态转换表;(2)写出状态方程;(3)写出驱动方程。

5、试利用下降沿触发的JK触发器设计一个同步四进制减法计数器。要求:(1)列出状态转换表;(2)写出状态方程;(3)写出驱动方程。

6、用下降沿触发的JK 触发器完成同步4进制加法计数器的设计。要求:(1)列出状态转换表;(2)写出状态方程;(3)写出驱动方程;

7、试用JK触发器,完成题40图所示的状态转换的同步时序逻辑电路(不画逻辑图)。要

求:(1)列出次态卡诺图;(2)写出状态方程;(3)写出驱动方程。

→n

n n Q Q Q 210

8.利用一片 74161 和必要的门电路完成13进制计数器(采用预置零法)的设计,画出状态

转换图,并在题39图上画出连线图,并设置相应的控制信号

9、试用555定时器设计一个单稳态电路,其输入、输出波形如题图所示。已知V CC =5V ,给定电容C=0.47μF ,画出电路图,并确定电阻R 的大小。

10.在题40图上,利用3 线—8 线译码器74LS138 和必要的门电路完成下列函数

BC C A F +=1 BC C B A F += 2

E3E2E1

t

t

v I v

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。) 1、逻辑电路可以分为 组合逻辑电路 电路和 时序逻辑电路 电路。 2、数字电路的基本单元电路是 门电路 和 触发器 。 3、数字电路的分析工具是 逻辑代数(布尔代数) 。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (10010 )8421BCD 6、数字电路中的最基本的逻辑运算有 与 、 或 、 非 。 7、逻辑真值表是表示数字电路 输入和输出 之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的 或门 。 9、表示逻辑函数的4种方法是 真值表 、 表达式、 卡诺图 、 逻辑电路图 。 其中形式惟一的是 真值表 。 10、对于变量的一组取值,全体最小项之和为 1 。 11、对于任意一个最小项,只有一组变量的取值使其值为 1 ,而在变量取其他各组值时 这个最小项的取值都是 0 。 12、对于变量的任一组取值,任意两个最小项之积为0。 13、与最小项ABC 相邻的最小项有C AB 、C B A 、BC A 。 14、组合逻辑电路的特点是 输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件) 。 15、按电路的功能分,触发器可以分为 RS 、 JK 、 D 、 T 、 T ’。 16、时序电路可分为 同步时序逻辑电路 和 异步时序逻辑电路 两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含 驱动方程 、 输出方程 、 状态方程 )、 状态图 、 状态表 、 时序图 。 18、(251)10 =()2 =(FB )16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为 RAM 和 ROM 。 21、RAM 可分为 动态RAM 和 静态RAM 。 22、存储器以 字 为单位组织内部结构,1个字含有 若干 个存储单元。1个字中所含的位数(即存储单元的个数)称为字长。字数与字长的乘积表示存储器的 容量 。字数决定 存储器的地址线的颗数 ,字长决定 存储器的数据线的颗数 。

数字电路复习题及答案(精编文档).doc

【最新整理,下载后即可编辑】 数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。) 1、逻辑电路可以分为组合逻辑电路电路和时序逻辑电路电路。 2、数字电路的基本单元电路是门电路和触发器。 3、数字电路的分析工具是逻辑代数(布尔代数)。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (0001000000010010 )8421BCD 6、数字电路中的最基本的逻辑运算有与、或、非。 7、逻辑真值表是表示数字电路输入和输出之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的或门。 9、表示逻辑函数的4种方法是真值表、表达式、卡诺图、逻辑电路图。 其中形式惟一的是真值表。 10、对于变量的一组取值,全体最小项之和为1。 11、对于任意一个最小项,只有一组变量的取值使其值为1, 而在变量取其他各组值时这个最小项的取值都是0。 12、对于变量的任一组取值,任意两个最小项之积为0。 13、与最小项ABC相邻的最小项有C A。 AB、C B A、BC 14、组合逻辑电路的特点是输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件)。

15、按电路的功能分,触发器可以分为 RS 、 JK 、 D 、 T 、 T ’。 16、时序电路可分为 同步时序逻辑电路 和 异步时序逻辑电路 两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含 驱动方程 、 输出方程 、 状态方程 )、 状态图 、 状态表 、 时序图 。 18、(251)10 =(11111011)2 =(FB )16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为 RAM 和 ROM 。 21、RAM 可分为 动态RAM 和 静态RAM 。 22、存储器以 字 为单位组织内部结构,1个字含有 若干 个存储单元。1个字中所含的位数(即存储单元的个数)称为字长。字数与字长的乘积表示存储器的 容量 。字数决定 存储器的地址线的颗数 ,字长决定 存储器的数据线的颗数 。 1、用公式化简下列逻辑函数 (1)、B A B B A Y ++==A+B (2)、C B A C B A Y +++==1 (3)、C B A C B A Y +++==C B (4)、D C A ABD CD B A Y ++==AD (5)、CD D AC ABC C A Y +++==A+CD

数电习题及答案

一、 时序逻辑电路与组合逻辑电路不同, 其电路由 组合逻辑电路 和 存储电路(触发器) 两部分组成。 二、描述同步时序电路有三组方程,分别是 驱动方程 、状态方程 和 输 出方程 。 三、时序逻辑电路根据触发器的动作特点不同可分为 同步时序逻辑电路 和 异步时序逻辑电路 两大类。 四、试分析图 T7.5时序电路的逻辑功能,写出电路的驱动方程、状态方程 和输出方程,画出电路的状态转换图和时序图。 解:驱动方程: 00110 1J K J K Q ==== 状态方程: 1001 1 10 10n n Q Q Q Q Q Q Q ++==+ 输出方程: 10Y Q Q = 状态图:功能:同步三进制计数器

五、试用触发器和门电路设计一个同步五进制计数器。 解:采用3个D触发器,用状态000到100构成五进制计数器。 (1)状态转换图 (2)状态真值表 (3)求状态方程

(4)驱动方程 (5)逻辑图(略) [题7.1] 分析图P7.1所示的时序电路的逻辑功能,写出电路驱动方程、状态转移方程和输出方程,画出状态转换图,并说明时序电路是否具有自启动性。 解:触发器的驱动方程 2 0010210 10 21 1 J Q K J Q J Q Q K Q K ====???? ? ? ==??? 触发器的状态方程

1 20 0 1 10 101 1 2 210 n n n Q Q Q Q Q Q Q Q Q Q Q Q + + + = =+ = ? ?? ? ? ?? 输出方程 2 Y Q = 状态转换图如图A7.1所示 所以该电路的功能是:能自启动的五进制加法计数器。 [题7.3] 试分析图P7.3时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,并检查电路能否自启动。 解:驱动方程 输出方程 状态方程 状态转换图如图 A7.3所示 01 J X Q =⊕01 K= 10 J X Q =⊕ 1 1 K= 10 () Z X Q Q =⊕? 1 0000010 () n Q J Q K Q X Q Q +=+=⊕ 1 1111101 () n Q J Q K Q X Q Q +=+=⊕?

数电复习题集(含答案解析)-数电复习题集

数 电 复 习 题 选择题: 1.下列四个数中,与十进制数(163)10不相等的是( D ) A 、(A3)16 B 、(10100011)2 C 、(000101100011)8421BC D D 、(203)8 2.N 个变量可以构成多少个最小项( C ) A 、N B 、2N C 、2N D 、2N -1 3.下列功能不是二极管的常用功能的是( C ) A 、检波 B 、开关 C 、放大 D 、整流 4..将十进制数10)18(转换成八进制数是 ( B ) A 、20 B 、22 C 、21 D 、23 5.译码器的输入地址线为4根,那么输出线为多少根( C ) A 、8 B 、12 C 、16 D 、20 6.能把正弦信号转换成矩形脉冲信号的电路是(D ) A 、多谐振荡器 B 、D/A 转换器 C 、JK 触发器 D 、施密特触发器 7.三变量函数()BC A C B A F +=,,的最小项表示中不含下列哪项 ( A ) A 、m2 B 、 m5 C 、m3 D 、 m7 8.用PROM 来实现组合逻辑电路,他的可编程阵列是( B ) A 、与阵列 B 、或阵列 C 、与阵列和或阵列都可以 D 、以上说法都不对 9.A/D 转换器中,转换速度最高的为( A )转换 A 、并联比较型 B 、逐次逼近型 C 、双积分型 D 、计数型 10.关于PAL 器件与或阵列说确的是 ( A ) A 、 只有与阵列可编程 B 、 都是可编程的 C 、 只有或阵列可编程 D 、 都是不可编程的 11. 当三态门输出高阻状态时,输出电阻为 ( A ) A 、无穷大 B 、约100欧姆 C 、无穷小 D 、约10欧姆 12为使采样输出信号不失真地代表输入模拟信号,采样频率 f s 和输入模

数电各章复习题及答案

第1章逻辑代数基础 一、选择题(多选题) 1.以下代码中为无权码的为。 A. 8421BCD码 B. 5421BCD码 C. 余三码 D. 格雷码 2.一位十六进制数可以用位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 3.十进制数25用8421BCD码表示为。 A.10 101 B.0010 0101 C.100101 D.10101 4.与十进制数(53.5)10等值的数或代码为。 A.(0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 5.与八进制数(47.3)8等值的数为: A. (100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 6.常用的B C D码有。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 7.与模拟电路相比,数字电路主要的优点有。 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强 8. 逻辑变量的取值1和0可以表示:。 A.开关的闭合、断开 B.电位的高、低 C.真与假 D.电流的有、无 9.求一个逻辑函数F的对偶式,可将F中的。 A .“·”换成“+”,“+”换成“·” B.原变量换成反变量,反变量换成原变量 C.变量不变 D.常数中“0”换成“1”,“1”换成“0” E.常数不变 10. A+BC= 。 A .A+ B B.A+ C C.(A+B)(A+C) D.B+C 11.在何种输入情况下,“与非”运算的结果是逻辑0。 A.全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1 12.在何种输入情况下,“或非”运算的结果是逻辑0。 A.全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为1 13.以下表达式中符合逻辑运算法则的是。 A.C·C=C2 B.1+1=10 C.0<1 D.A+1=1 14. 当逻辑函数有n个变量时,共有个变量取值组合? A. n B. 2n C. n2 D. 2n 15. 逻辑函数的表示方法中具有唯一性的是。 A .真值表 B.表达式 C.逻辑图 D.卡诺图 16.F=A B+BD+CDE+A D= 。

数电试题及答案

通信071?5 班 20 08?20 09 学年第二学期 《数字电子技术基础》 课试卷试卷 类型:A ■卷 单项选择题(每小题2分,共24 分) 1、 8421BCD 码01101001.01110001转换为十进制数是: A : 78.16 B : 24.25 C : 2、 最简与或式的标准是: (c ) A:表达式中乘积项最多,且每个乘积项的变量个数最多 变量个数最多 C:表达式中乘积项最少,且每个乘积项的变量个数最少 变量个数最多 3、 用逻辑函数卡诺图化简中,四个相邻项可合并为一项 A :消去1个表现形式不同的变量,保留相同变量 B:消去2个表现形式不同的变量,保留相同变量 C :消去3个表现形式不同的变量,保留相同 D:消去4个表现形式不同的变量,保留相同变量 4、 已知真值表如表 1所示,则其逻辑表达式为: A: A ? B ? C B: AB + BC C: AB + BC D: ABC (A+B+C ) 5、 函数 F(A , A: F(A,B,C)= B: F(A,B,C)= C: F(A,B,C)= D: F(A,B,C)= B ,C)=AB+BC+AC 的最小项表达式为: E m E m E m E m (0, (3, (0, (2, 2, 5, 2, 4, 4) 6, 3, 6, 7) 4) 7) 6、 欲将一个移位寄存器中的二进制数乘以( A: 32 B : 10 7、 已知74LS138译码器的输入三个使能端( E 1=1, 是:(C ) A :::: (c 69.71 ,它能: 变量 32) 10需要 n 1 n = Q ,JK 触发器的J 、K 取值应是: B: J=0, K=1 (B ) B :集电极开路门 D : 54.56 B :表达式中乘积项最少,且每个乘积项的 D:表达式中乘积项最多,且每个乘积项的 (B ) (B ) ( C : _ E 2A =E 2B = 0 ) )个移位脉冲。 D : 6 _ _ A 2A 1A O =011,则输岀 丫厂?丫0 时,地址码 8、 要实现Q =Q A: J=0, K=0 9、 能够实现线与功能的是: A: TTL 与非门 10、 个四位串行数据,输入四位移位寄存器,时钟脉冲频率为 输岀。 A : 8ms B : 4ms 11、 表2所列真值表的逻辑功能所表示的逻辑器件是: A B C D (D ) C: J=1, K=0 D : J=1, K=1 C :三态逻辑门 1kHz ,经过 D : CMOS 逻辑门 B )可转换为4位并行数据 译码器 选择器 优先 编码器 比 较器 输入 I 7 I 6 I 5 I 4 I 3 I 2 I 1 12、 A: B: C: D: 图1所示为2个4位二进制数相加的串 11000 11001 10111 10101 接全力X 器逻辑电路图X 运算后 的 0 0 0 0 0 0 0 1 0 0 0 0 0 X 1 0 0 图 31 0 0 (A )

复习题(数电答案)

1.下列四种类型的逻辑门中,可以用( D )实现与、或、非三种基本运算。 A. 与门 B. 或门 C. 非门 D. 与非门 2. 根据反演规则,CD C B A F ++=)(的反函数为(A )。 A. ))((''''''D C C B A F ++= B. ))((''''''D C C B A F ++= C. ))((''''''D C C B A F += D. ))(('''''D C C B A F ++= 3.逻辑函数F=)(B A A ⊕⊕ =( A )。 A. B B. A C. B A ⊕ D. B A ⊕ 4. 最小项ABCD 的逻辑相邻最小项是( A )。 A. ABCD B. ABCD C. ABCD D. ABCD 5. 对CMOS 与非门电路,其多余输入端正确的处理方法是(D )。 A. 通过大电阻接地(>1.5K Ω) B. 悬空 C. 通过小电阻接地(<1K Ω) D. 通过电阻接+VCC 6. 下列说法不正确的是( C )。 A .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑。 B .三态门输出端有可能出现三种状态(高阻态、高电平、低电平)。 C .OC 门输出端直接连接可以实现正逻辑的线与运算。 D .集电极开路的门称为OC 门。 7.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( C ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 8. 若用JK 触发器来实现特性方程为1+n Q Q AB Q +=A ,则JK 端的方程为( A )。 A.J=AB ,K=A B.J=AB ,K=A C. J =A ,K =AB D.J=B A ,K=AB 9.要将方波脉冲的周期扩展10倍,可采用( C )。 A 、10级施密特触发器 B 、10位二进制计数器 C 、十进制计数器 D 、10位D/A 转换器 10. 一个16选1的数据选择器,其地址输入端有( C )个。 A.1 B.2 C.4 D.16 11. 8线—3线优先编码器的输入为I0—I7 ,当优先级别最高的I7有效时,其输出012Y Y Y ??的值是( C )。

数字电路复习题及答案.

《数字电子技术基础》复习题 一.选择填空题(以下每小题后均给出了几个可供选择的答案,请选择其中一个最合适的答案填入空格中) 1.处理 b 的电子电路是数字电路。 (a)交流电压信号(b)时间和幅值上离散的信号 (c)时间和幅值上连续变化的信号(d)无法确定 2.用不同数制的数字来表示2004,位数最少的是 d 。 (a)二进制(b)八进制(c)十进制(d)十六进制 3.最常用的BCD码是 b 。 (a)5421码(b)8421码(c)余3码(d)循环码 4.格雷码的优点是 c 。 (a)代码短(b)记忆方便(c)两组相邻代码之间只有一位不同(d)同时具备以上三者 5.两个开关控制一盏灯,只有两个开关都闭合时灯才不亮,则该电路的逻辑关系是 a 。 (a)与非(b)或非(c)同或(d)异或 6.已知F=ABC+CD,选出下列可以肯定使F=0的取值 d (a)ABC=011 (b)BC=11 (c)CD=10 (d)BCD=111 7.2004个1连续异或的结果是 a 。 (a)0 (b)1 (c)不唯一(d)逻辑概念错误 二、填空题(请在空格中填上合适的词语,将题中的论述补充完整)

1.5的5421BCD码是0101 这个是8421码的。 2.逻辑表达式中,异或的符号是⊕,同或的符号是⊙。 3.逻辑函数常用的表示方法有真值表、逻辑函数式、逻辑图和卡诺图。 4.用代数法化简逻辑函数需要一定的经验和技巧,不容易确定化简结果是否是最简。 5.用卡诺图化简逻辑函数,化简结果一般是最简与-或式。 一.选择填空题(以下每小题后均给出了几个可供选择的答案,请选择其中一个最合适的答案填入空格中) 1.实体(ENTITY)描述一个设计单元的 C D 的信息。 (a)行为、元件及连接关系(b)元件、子程序、公用数据类型(c)名称和端口的引脚等(d)可编译的设计单元 2.结构体(ARCHITECTURE)用于描述设计单元的 A D 。 (a)行为、元件及连接关系(b)元件、子程序、公用数据类型(c)名称和端口的引脚等(d)可编译的设计单元 3.在VHDL语言中,ARCHITECTURE中的语句都是 B 执行的语句。 (a)顺序(b)并行(c)即可顺序也可并行(d)无法确定4.在VHDL程序设计中,下面4个部分, C 不是可编译的源设计单元。 (a)ARCHITECTURE (b)ENTITY (c)PROCESS (d)PACKAGE

(完整版)数电试题及答案

通信 071~5 班 20 08 ~20 09 学年 第 二 学期 《数字电子技术基 础》 课试卷 试卷类型: A 卷 一、 单项选择题(每小题2分,共24分) 1、8421BCD 码01101001.01110001转换为十进制数是:( ) A :78.16 B :24.25 C :69.71 D :54.56 2、最简与或式的标准是:( ) A :表达式中乘积项最多,且每个乘积项的变量个数最多 B :表达式中乘积项最少,且每个乘积项的变量个数最多 C :表达式中乘积项最少,且每个乘积项的变量个数最少 D :表达式中乘积项最多,且每个乘积项的变量个数最多 3、用逻辑函数卡诺图化简中,四个相邻项可合并为一项,它能:( ) A :消去1个表现形式不同的变量,保留相同变量 B :消去2个表现形式不同的变量,保留相同变量 C :消去3个表现形式不同的变量,保留相同变量 表1 D :消去4个表现形式不同的变量,保留相同变量 4、已知真值表如表1所示,则其逻辑表达式为:( ) A :A ⊕B ⊕C B :AB + BC C :AB + BC D :ABC (A+B+C ) 5、函数F(A ,B ,C)=AB+BC+AC 的最小项表达式为:( ) A :F(A,B,C)=∑m (0,2,4) B :F(A,B,C)=∑m (3,5,6,7) C :F(A,B,C)=∑m (0,2,3,4) D :F(A,B,C)=∑m (2,4,6,7) 6、欲将一个移位寄存器中的二进制数乘以(32)10需要( )个移位脉冲。 A :32 B : 10 C :5 D : 6 7、已知74LS138译码器的输入三个使能端(E 1=1,E 2A =E 2B =0)时,地址码A 2A 1A 0=011,则输出Y 7 ~Y 0是:( ) A :11111101 B :10111111 C :11110111 D :11111111 8、要实现n 1n Q Q =+,JK 触发器的J 、K 取值应是:( ) A :J=0,K=0 B :J=0,K=1 C :J=1,K=0 D :J=1,K=1

数字电路复习题及答案

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。)1、逻辑电路可以分为组合逻辑电路电路和时序逻辑电路电路。 2、数字电路的基本单元电路是门电路和触发器。 3、数字电路的分析工具是逻辑代数(布尔代数)。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (0001000000010010 )8421BCD 6、数字电路中的最基本的逻辑运算有与、或、非。 7、逻辑真值表是表示数字电路输入和输出之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的或门。 9、表示逻辑函数的4种方法是真值表、表达式、卡诺图、逻辑电路图。 其中形式惟一的是真值表。 10、对于变量的一组取值,全体最小项之和为

1。 11、对于任意一个最小项,只有一组变量的取值 使其值为1,而在变量取其他各组值时 这个最小项的取值都是0。 12、对于变量的任一组取值,任意两个最小项之 积为0。 13、与最小项ABC相邻的最小项有C A。 AB、C B A、BC 14、组合逻辑电路的特点是输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件)。 15、按电路的功能分,触发器可以分为RS、JK、 D、T、 T’。 16、时序电路可分为同步时序逻辑电路和异步时序逻辑电路两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含驱动方程、输出方程、 状态方程)、状态图、状态表、时序图。 18、(251)10 =(11111011)2 =(FB)16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为

数电各章复习题及答案

第1章 逻辑代数基础 一、选择题(多选题) 1.以下代码中为无权码的为 。 A. 8421BCD 码 B. 5421BCD 码 C. 余三码 D. 格雷码 2.一位十六进制数可以用 位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 3.十进制数25用8421BCD 码表示为 。 A.10 101 B.0010 0101 C.100101 D.10101 4.与十进制数(53.5)10等值的数或代码为 。 A.(0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 5.与八进制数(47.3)8等值的数为: A. (100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 6.常用的B C D 码有 。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 7.与模拟电路相比,数字电路主要的优点有 。 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强 8. 逻辑变量的取值1和0可以表示: 。 A.开关的闭合、断开 B.电位的高、低 C.真与假 D.电流的有、无 9.求一个逻辑函数F 的对偶式,可将F 中的 。 A .“·”换成“+”,“+”换成“·” B.原变量换成反变量,反变量换成原变量 C.变量不变 D.常数中“0”换成“1”,“1”换成“0” E.常数不变 10. A+BC= 。 A .A + B B.A + C C.(A +B )(A +C ) D.B +C 11.在何种输入情况下,“与非”运算的结果是逻辑0。 A .全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1 12.在何种输入情况下,“或非”运算的结果是逻辑0。 A .全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为1 13.以下表达式中符合逻辑运算法则的是 。 A. C ·C =C 2 B.1+1=10 C.0<1 D.A +1=1 14. 当逻辑函数有n 个变量时,共有 个变量取值组合? A. n B. 2n C. n 2 D. 2n 15. 逻辑函数的表示方法中具有唯一性的是 。 A .真值表 B.表达式 C.逻辑图 D.卡诺图 16. F=A B +BD+CDE+A D= 。 A.D B A + B.D B A )(+ C.))((D B D A ++ D.))((D B D A ++ 17. 逻辑函数F=)(B A A ⊕⊕ = 。

数电习题及答案

一、时序逻辑电路与组合逻辑电路不同,其电路由 组合逻辑电路 和 存储电路(触发器) 两部分组成。 二、描述同步时序电路有三组方程,分别是 驱动方程 、状态方程 和 输出方程 。 三、时序逻辑电路根据触发器的动作特点不同可分为 同步时序逻辑电路 和 异步时序逻辑电 路 两大类。 四、试分析图T7.5时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的 状态转换图和时序图。 解:驱动方程: 00110 1J K J K Q ==== 状态方程: 10011 10 10n n Q Q Q Q Q Q Q ++==+ 输出方程:10Y Q Q = 状态图:功能:同步三进制计数器 五、试用触发器和门电路设计一个同步五进制计数器。 解:采用3个D 触发器,用状态000到100构成五进制计数器。 (1)状态转换图

(2)状态真值表 (3)求状态方程 (4)驱动方程 (5)逻辑图(略) [题7.1] 分析图P7.1所示的时序电路的逻辑功能,写出电路驱动方程、状态转移方程和输出方程,画出状态转换图,并说明时序电路是否具有自启动性。

解:触发器的驱动方程 20010210 102 11J Q K J Q J Q Q K Q K ====???? ? ? ==??? 触发器的状态方程 120 01 1010112210 n n n Q Q Q Q Q Q Q Q Q Q Q Q +++==+=??????? 输出方程 2Y Q = 状态转换图如图A7.1所示 所以该电路的功能是:能自启动的五进制加法计数器。 [题7.3] 试分析图P7.3时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,并检查电路能否自启动。 解:驱动方程 01J X Q =⊕01 K =10 J X Q =⊕11 K =

数字电路复习题(含答案)

一、填空题: 1.在计算机内部,只处理二进制数;二制数的数码为1 、0两个;写出从(000)2 依次加1的所有3位二进制数:000、001、010、011、100、101、110、111 。2.13=(1101)2;(5A)16=(1011010)2;(10001100)2=(8C)16。 完成二进制加法(1011)2+1=(1100)2 3.写出下列公式:= 1 ; = B ; = A+B ; =B A 。 4.含用触发器的数字电路属于时序逻辑电路(组合逻辑电路、时序逻辑电路)。 TTL、CMOS电路中,工作电压为5V的是TTL ;要特别注意防静电的是CMOS 。 5.要对256个存贮单元进行编址,则所需的地址线是8 条。 6.输出端一定连接上拉电阻的是OC 门;三态门的输出状态有1 、0 、高阻态三种状态。 7.施密特触发器有 2 个稳定状态.,多谐振荡器有0 个稳定状态。8.下图是由触发器构成的时序逻辑电路。试问此电路的功能是移位寄存器, 是同步时序电路(填同步还是异步),当R D=1时,Q0Q1Q2Q3= 0000 ,当R D=0,D I=1,当第二个CP脉冲到来后,Q0Q1Q2Q3= 0100 。 (图一) 1.和二进制数(111100111.001)等值的十六进制数是( B ) A.(747.2) 16B.(1E7.2) 16 C.(3D7.1) 16 D.(F31.2) 16 R CP

2.和逻辑式B A C B AC+ +相等的式子是( A ) A.AC+B B. BC C.B D.BC A+ 3.32位输入的二进制编码器,其输出端有( D )位。 A. 256 B. 128 C. 4 D. 5 4.n位触发器构成的扭环形计数器,其无关状态数为个( B ) A.2n-n B.2n-2n C.2n D.2n-1 5.4个边沿JK触发器,可以存储( A )位二进制数 A.4 B.8 C.16 6.三极管作为开关时工作区域是( D ) A.饱和区+放大区B.击穿区+截止区 C.放大区+击穿区D.饱和区+截止区 7.下列各种电路结构的触发器中哪种能构成移位寄存器( C ) A.基本RS触发器B.同步RS触发器C.主从结构触发器8.施密特触发器常用于对脉冲波形的( C ) A.定时B.计数C.整形 1.八进制数 (34.2 ) 8 的等值二进制数为11100.01 ;十进制数 98 的8421BCD 码为10011000 。 2.试写出下列图中各门电路的输出分别是什么状态(高电平、低电平)?(其中(A)(B)为TTL门电路,而(C)为CMOS门电路) (A)(B)(C) Y 1= 02 Y 2 = 1 Y 3 = 1 3.一个 JK 触发器有 2 个稳态,它可存储 1 位二进制数。 4.单稳态触发器有一个稳定状态和一个暂稳状态。施密特触发器有

数电复习资料(含答案)期末考试

数电 第一章 一、选择题 1.以下代码中为无权码的为。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2.以下代码中为恒权码的为。 码B. 5421BCD码C.余三码D.格雷码 3.一位十六进制数可以用位二进制数来表示。A.1B.2C.4D. 16 4.十进制数25用8421BCD码表示为。101 0101 5.在一个8位的存储单元中,能够存储的最大无符号整数是。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 6.与十进制数()10等值的数或代码为。 A.(0101 8421BCD B.16 C.2 D.8 7.矩形脉冲信号的参数有。A.周期 B.占空比 C.脉宽 D.扫描期8.与八进制数8等值的数为: A.2 B.16 C. )16 D.2 9.常用的B C D码有。A.奇偶校验码 B.格雷码码 D.余三码 10.与模拟电路相比,数字电路主要的优点有。 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强 二、判断题(正确打√,错误的打×) 1. 方波的占空比为。() 2. 8421码1001比0001大。() 3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。()

4.格雷码具有任何相邻码只有一位码元不同的特性。() 5.八进制数(18)8比十进制数(18)10小。() 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。()7.在时间和幅度上都断续变化的信号是数字信号,语音信号不是数字信号。() 8.占空比的公式为:q = t w / T,则周期T越大占空比q越小。() 9.十进制数(9)10比十六进制数(9)16小。() 10.当8421奇校验码在传送十进制数(8)10时,在校验位上出现了1时,表明在传送过程中出现了错误。() 三、填空题 1.描述脉冲波形的主要参数有、、、、、、。 2.数字信号的特点是在上和上都是断续变化的,其高电平和低电平常用 和来表示。 3.分析数字电路的主要工具是,数字电路又称作。 4.在数字电路中,常用的计数制除十进制外,还有、、。 5.常用的BCD码有、、、等。常用的可靠性代 码有、等。 6.(.1011)2=( )8=( )16 7.( 8 =()2 =( )10=( )16=( )8421BCD 8.)10=()2=( )8=( )16 9.( 16=()2=( )8=( )10= ( )8421BCD 10.( 0111 1000)8421BCD=() =( )8=( )10=( )16 2 四、思考题 1在数字系统中为什么要采用二进制2格雷码的特点是什么为什么说它是可靠性代码3奇偶校验码的特点是什么为什么说它是可靠性代码

数电试题

1. (30.25) 10 = ( )2 = ( )16 2. 三态门输出的三种状态分别为:、和。 3 . 主从型JK触发器的特性方程Q^(N+1)= 。 4 . 用4个触发器可以存储位二进制数。13、正逻辑的与门是负逻辑的;正逻辑或门是负逻辑的。 14、正逻辑的或非门是负逻辑的;正逻辑的与非门是负逻辑的。 15、在TTL三态门、OC门、与非门|异或门和或非门电路中,能实现“线与”逻辑功能的门为,能实现总线系统的门为。 16、TTL与非门的关门电平为0.7V,开门电平为1.9V,当其输入低电平为0.4V,高电平为3.2V时,其低电平输入噪声容限V NL为,输入高电平噪声容限为。 17.任意两个最小项之积恒为,全体最小项之和恒为。 18、逻辑函数F的卡诺图若全为1格,对应F= 。 19、通常逻辑函数的表示方法有、、和四种。 20、组合逻辑电路是指任何时刻电路的输出仅由当时的决定。 21、将本位的两个数和来自低位的进位数三者相加,这种加法运算称为。 22、在一系列异或逻辑运算中,当输入码中的1的个数为数个时,其输出为1。 23、一个二进制编码器若需要对12个输入信号进行编码,则要采用位二进制代码。 24、三变量输入译码器,其译码输出信号最多应有个。 25、用二进制表示有关对象(信号)的过程叫。一位二进制代码可以表示 信号。 26、若用一个四——十六线的译码器(高电平输出有效)实现函数F(A,B,C,D)=∑m(3,5,7,9,11,13)的表达式是F(A,B,C,D)= .。 57、一个二——十进制译码器规定为输出低有效,则当输入8421BCD码为0110时,其输出Y9 Y8 Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y0= 。 58、列出半加器的真值表: 59全加器与半器的区别。 60、固定ROM主要由地址译码器、和输出电路三部分组成。 62、按照电路组成和逻辑功能的不同,数字逻辑电路可分为: 65、一片4K?8的ROM的存贮器有个字,字长为位,有个片选端和根地址线。66、由与非门构成的基本RS触发器约束条件是。 69、主从RS触发器从根本上解决了基本RS触发器的 问题。 70、边沿JK触发器解决了主从JK触发器的 问题。 71、根据在CP控制下,逻辑功能的不同,常把时钟触发器分为五种类型。 72、JK触发器的特性方程为。 78、所谓时序电路是指电路的输出不仅与当时的 有关,而且与电路的有关。 79、在工作速度要求较高时,在同步计数器和异步计数器两者之中,应选用。 80、三级触发器若构成环型计数器,其模值为,若构成扭环型计数器,则其模值为。 81、由四个触发器构成的寄存器可以存入位 二进制代码。 89、由四个触发器构成计数器,它的计数状态最多为 个。 90、一个4K?8的RAM,有个8位字长的存储器,有根地址线和根数据线。 91、若需要将缓慢变化的三角波信号转换成矩形波,则采用电路。 92、对于微分型单稳态电路,正常工作时其输入脉冲宽度应输出脉冲宽度。 95、单稳态触发器有一个态和一个态。 96、石英晶体多谐振荡器的振频率仅决定于晶体本身的,而与电路中的 数值无关。 97、欲把输入的正弦波信号转换成同频的矩形波信号,可采用电路。 98、常用脉冲整形电路有和 两种。 99、施密特触发器有个稳定状态,多谐振荡 器有个稳定状态。 5.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 6.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC

数字电子技术复习题三套含答案

复习题一 1.下列四个数中,与十进制数(163)10不相等的是D 、(203)8 2.N 个变量可以构成多少个最大项C 、2N 3.下列功能不是二极管的常用功能的是C 、放大 5.译码器的输入地址线为4根,那么输出线为多少根( 16 ) 6.用或非门构成钟控R-S 触发器发生竞争现象时,输入端的变化是00→11 7.一个4K 赫兹的方波信号经4分频后,下列说法错误的是B 、周期为2π×10- 3秒 8.用PROM 来实现组合逻辑电路,他的可编程阵列是(或阵列 ) 9.A/D 转换器中,转换速度最高的为( A 、并联比较型 )转换 10.MAXPLUS-II 是哪个PLD 厂家的PLD 开发软件( B 、Altera 1.存储器按存取方式可分为三类,即:1. SAM , RAM , ROM 2.设4位逐次逼近型A/D 转换器的电压转换范围为0-15V ,采用四舍五入法量化,模拟输入电压为8.59V ,转换的逼近过程是(其中括号中用?表示保留,×表示不保留 1000(? )→1100(× )→1010(× )→1001(? )→1001 3.时序电路中的时序图的主要作用是:用于在实验中测试检查电路得逻辑功能和用于计算机仿真模拟 4.施密特触发器在波形整形应用中能有效消除叠加在脉冲信号上的噪声,是因为它具有滞后特性 5.既能传送模拟信号,又能传送数字信号的门电路是. CMOS 传输门 三、简答题(每小题5分,共10分)1.请写出RS 、JK 、D 、T 触发器的状态转移方程,并解释为什么有的触发器有约束方程。 2.请回答两个状态等价的条件是什么? 四、分析题(25分)1.分析如图由3线-8线译码器74LS138构成的电路,写出输出S i 和C i 的逻辑函数 表达式,说明其逻辑功能。(6分) 2.问图示电路的计数长度N 是多少?能自启动吗?画出状态转换图。(7分) 3.分析如图电路,列出状态转换图,说明它的功能。其中74195为集成移位寄存器器,LD SH /为移位和同步置数控制端,CR 为异步清零端,J 和K 为工作方式控制端,控制功能表如下。(12分)

数字电路试卷

电子技术基础(数字部分) 一、选择题(每题2分,共30分) 1.决定一事件结果的所有条件中要求所有的条件同时满足时结果就发生,这种条件和结果的逻辑关系是( )。 A.与 B.或 C.非 D.异或 2.在下图的逻辑符号中,能实现F=AB 逻辑功能的是( )。 3.同或的逻辑表达式为:( )。 A.L AB AB =+ B. L AB AB =+ C.L AB AB =+ D. L A B =+ 4.SR 锁存器是一种_______稳态电路。( ) A.无 B.单 C.双 D.多 5.基本SR 锁存器,当SR 锁存器状态不确定时,( )。 A . S=0;R=0 B.S=0;R=1 C.S=1;R=0 D.S=1;R=1 6.R-S 型触发器的“R ”意指( )。 A.重复 B.复位 C.优先 D.异步 7.下列电路中,不属于组合逻辑电路的是( )。 A .译码器 B .全加器 C .寄存器 D .编码器 8.一个8选一数据选择器的数据输入端有_______个。( ) A.1 B.2 C.8 D.4 9.组合逻辑电路消除竞争冒险的方法有( )。 A.前级加电阻 B .在输入端接入滤波电容 C .后级加缓冲电路 D.屏蔽输入信号的尖峰干扰

10.__________不能将减法运算转换为加法运算。() A.原码 B.反码 C.补码 11.逻辑函数F=A⊕B和G=A⊙B不满足关系_________。() A.F=G B.F’=G C.F’=G D.F=G⊕1 12.使JK触发器在时钟脉冲作用下,实现输出 n n Q Q= +1 ,则输入端信号应为()。 A.J=K=0 B.J=K=1 C.J=1,K=0 D.J=0,K=1 13.设计一个同步10进制计数器,需要________触发器。() A.3个 B.4个 C.5个 D.10个 14.数值[375]10与下列哪个数相等。() A.[111011101]2 B.[567]8 C.[11101110]BCD D.[1F5]16 15.凡在数值上或时间上不连续变化的信号,称为 ( )。 A、模拟信号 B、数字信号 C、直流信号 D、交流信号 二、填空题(每空1分,共20分) 1.某通信系统每秒钟传输1000000位,那么每位数据的占时间__________________; 2.最基本的门电路是:________、________、__________。 3.发光二极管构成的七段显示器有两种,分别是___________和_____________电路。 4.三态门(TS门)的输出状态除了高电平或低电平两种状态外,还有第三状态是。 5.触发器是一种对_________敏感的存储电路。 6.组合逻辑电路不含具有__________功能的元件。 7. 2002个‘1’异或的结果是_____. 8.对30个信号进行编码,采用二进制编码需________位输出。 9.如图所示逻辑电路的输出Y= 。 10.数模转换器的输入为8位二进制数字信号(D7~D0),输出为0~25.5V的模拟电压。若数字信号的最

《数字电路》复习题部分答案

一、填空题20分 1、逻辑分析、逻辑设计的概念 2、数字电路的分类、研究方法 3、逻辑函数的表示有四种:逻辑电路图、其中后三种之间可以相互转换。 逻辑变量和函数只有两种取值,而且它们只是表示两种不同的逻辑状态。逻辑代数有三种基本运算。 4、逻辑代数的定理、规则的应用(例:求反函数) 5、最小/大项的性质 6、由真值表写出函数表达式 7、什么是功能模块,小规模中规模设计追求的目标 8、中规模器件(编码器,译码器,比较器,选择器,加法器的(1)逻辑功能(2)输入/出的数量关系 【 9、触发器的稳态的互补性,分类,特性方程 10、时序电路的组成,特点,分类 11、构造一个模N的计数器需要状态,需要触发器 12、代码的转化,例()8421=()10=()2 12、PLD常识概念(PLD PLA PAL GAL 及基本结构) 二、利用真值表证明函数相等(或函数化简)10分 三、分析题30分 1、分析组合电路 2、时序电路例题 四、设计题目40分 , 1、用门电路设计实现组合电路(15分) 2、用3-8译码器(输出低电平有效)/选择器设计实现 (10分) ①三输入表决电路②全加器/全减器 ③两位数比较器电路④优先权判断电路 3、时序电路的分析设计(15分) 分析设计可满足给定的时序波形图要求的时序电路 ~

" 模拟题 一、填空题 1、对现成的数字电路,研究它的逻辑功能称为 逻辑分析 ; 而 设计它的逻辑电路 称为逻辑设计。 2、数字逻辑电路可分为 组合逻辑电路 和 时序逻辑电路 两大类。 3、表示逻辑函数的方法有四种 逻辑代数 、 真值表 、卡诺图 和逻辑图,前三者各有特点,适应于不同的场合,它们之间存在内在的联系,可相互转换。 4、逻辑变量和函数只有 “0”或“1” 两种取值,而且它们只是表示两种不同的逻辑状态。 : 5、逻辑代数有 与运算 、 或运算 和 非运算 三种基本运算。 6、相同变量构成的最小项mi 和最大项Mi ,应满足= 0 ,Mi+mi= 1 。 7、1983=( 000 )8421码 (1100110)B =( 1010101 )Gray 8、使用小规模集成电路的逻辑设计,其设计目标追求的是 所用的门电路越少越好 。 9、采用MSI 器件为基础的设计,主要考虑的是 所设计的电路能否满足功能要求、可靠性要求及价格要求,尽量减少集成器件数 。 10、二进制一位全加器是实现 两个一位二进制数进行相加并考虑低位来的进位求得和及向高位进位的 逻辑功能的逻辑电路。 11、逻辑函数D C B A F ?+?=,其反函数为 A+B (C+D)()。 12、若逻辑函数F(A,B,C)=∑m(1,2,4,6) , G(A,B,C)=∑m(0,1,2,3,4,5,7),则F 和G 相与的结果为 ABC+BC 。 13、函数D D C C B C A AB F ++++=的最简与或式为 AB+C+D 。 : 时序电路是由组合电路和 存储电路 两部分组成,并形成 反馈回0路 ,它是一种

相关文档
相关文档 最新文档