文档库 最新最全的文档下载
当前位置:文档库 › 数电题库

数电题库

数电题库
数电题库

第一章

● 二进制(10110.01)B ,八进制数(706.07)O ,和十六进制数(1AF.OF)H 按权展开式分别

为 , 和 。

● (8C)H =( )D ,(11000110)B =( )D =( )H 。 ● 带符号数(+11011)B 和(-11011)B 的原码,反码和补码分别为 、 、 和 , , 。

● 25的8421码是_____________、余3码是___________。 ● 用8421码表示的十进制数65,可以写成( )。

A .65 B. [1000001]BCD C. [01100101]BCD D. [1000001] ● 二进制数101001对应的八进制数为 、十六进制数为 。 ● 十进制数59对应的二进制数为 、8421BCD 码为 。 ● 逻辑函数D C A

B L +=的对偶函数为 、反函数为 。 ● 逻辑函数

C A AB C B A L +=),,(的最小项表达式为 。

● 十进制数3.625的二进制数和8421BCD 码分别为 。

● 十进制数(69)D 转换成二进制数、八进制数、和十六进制数分别为 , 和 。

● 逻辑符号

是 门,其逻辑代数式为 ;

● 逻辑符号

是 门,其逻辑代数式为 。 ● 逻辑函数进行异或运算时,若“1”的个数为奇数个,“0”的个数为任意个,则运算结

果必为 ;若“1”的个数为偶数个,0的个数为任意个,则运算结果必为 。 ● 将2004个“1”异或起来得到的结果是 。

● 如何将与非门、或非门、异或门当做反相器,画出器逻辑图的连接方法:

Y

Y

Y

第二章

● 逻辑函数表示方法有: , , 和__________。 ● 三态门的“三态”指 , 和 。 ● 已知某电路的真值表如下,该电路的逻辑表达式为( )。

A .C Y = B. A

B

C Y = C .C AB Y +=

D .C C B Y +=

● 四选一数据选择器的输出表达式)()()()(013012011010A A D A A D A A D A A D F +++= 若用该数据选择器实现1A F =,则D0~D3 的取值为( ).

A. 110==D D ,032==D D

B. 030==D D ,112==D D

C. 13210====D D D D

D. D0=1,D2=D3=D4=1

● 逻辑电路的输出函数为 F=AB+BC,则该电路( )。

A. 不产生竞争冒险

B. 可能产生竞争冒险

C. 输入信号状态改变时, 可能产生竞争冒险

● 若Y A BC(C D)C =+++,利用反演定理则Y =________________________。 ● 若Y A B C D =+++,则对偶式Y '=________________________。 ●

用公式法化简逻辑函数F AD AD AB A C BD ACFG CDEGH =++++++

● 用公式法将Y AC ACD ABEF B(D E)BCDE BCDE ABEF =+++⊕+++化简为最简与

或式;

● 代数化简法化简下式:()

B A B A AB

C B A L +++= ● 下列几种说法中错误的是( )。

A. 任何逻辑函数都可以用卡诺图表示

B. 逻辑函数的卡诺图是唯一的

C. 同一个卡诺图化简结果可能不是唯一的

D. 卡诺图中的1的个数和0的个数相同

● 卡诺图化简法化简下式:F (A,B,C,D )=∑m(0,2,4,8,10,12)

● 用卡诺图法将m d Y(A,B,C,D)(2,3,7,8,11,14)(0,5,10,15)=+∑∑,化为最简与或式。 ● 用卡诺图化简逻辑函数(,,,)(0,1,2.3,4,5,6,8,9,10,11,12,13,14,)F A B C D m =

● 化简下列函数,写出最简与或表达式。 (10分) (1))B A A(B BC A B A F 1+++?=

(2))(5,7,13,15

0,14)(0,2,6,8,1D)C,B,(A,F 2∑∑+=d m

●试将逻辑函数F(A.B.C.D)=∑)8,7,6,3,2,0(m+∑)12,11,10,4(d用卡诺图化成最简与

或式和最简或与式。

●试将逻辑函数F(A,B,C,D)=∑)13,9,5,1(m+∑)41,01,6,2(d,用卡诺图化成最简或

与式和最简与或式。

●试写出图(a)、(b)、电路输出函数F的表达式, 说明其逻辑功能。

(a)(b)

●试写出下列逻辑图的逻辑代数式。(每小题5分,共10分)

1、

2

第三章

●在下列门电路中,输出端不可以并联使用的是。

A.具有推挽结构的TTL门电路 B.OC门

C.三态门 D.CMOS传输门

● 如图所示为TTL 门电路,则Y1、Y2的输出状态分别为是 和 。

● CMOS 门电路的特点:静态功耗 ,噪声容限 于TTL 门。 A .很大,低 B .极低,高 C .很大,高 D .极低,低 ● 三极管作为开关元件工作于___________和___________两种状态。 ● OC 、OD 门能实现 逻辑功能。 ● 写出图示各逻辑电路出端的逻辑表达式。(12分)

组合逻辑电路的输出仅与 有关,而与原来的状态 。 ● 图1、2中电路均由CMOS 门电路构成,写出P 、Q 的表达式,并画出对应A 、B 、C 的

P 、Q 波形

A B C

A C

B

C

F 3

A. 高电平,高阻态

B. 低电平,高阻态

C. 高电平,高电平 D .低电平,低电平

第四章

● 组合逻辑电路通常由( )组合而成。

A. 门电路;

B. 触发器; C . 计数器 ; D. 寄存器

● 数字电路按照是否有记忆功能通常可分为两类: 和 。 ● 在下列电路中,不属于组合逻辑电路的是 。 A .译码器 B .数据选择器 C .ROM D .计数器

● 3线—8线译码器是( )译码器。

A .三进制

B .八进制

C .三—八进制

D .八—三进制

● 试分析图示由1位全加器及与或门组成的电路,写出其输出F 的方程式,并说明其功能。

(10分)

● 用二片3线—8线译码器实现逻辑函数F=AB+CD 。(10分)

● 设计一个A 、B 、C 三人表决电路,以表决某一提案是否通过,如多数赞成,则提案通 过,同时A 有否决权。

● 某雷达站有3部雷达A 、B 、C ,其中A 和B 功耗相等,C 的功耗是A 的2倍。这些雷达

由两台发电机X 和Y 供电,X 的输出功率等于A 的功耗,Y 的输出功率是X 的3倍。试设计电路,可以根据雷达的开闭,以节约电能的方式起停发电机。

● 用3/8线译码器74LS138和必要的门电路设计三变量的多数表决电路。当输入变量A 、B 、

C 有2个或2个以上为1时输出为1,输入其他状态时输出为0。

如图所示为74LS138的外部管脚图,S1、2S 、3S 为片选信号端,输出低电平有效。

D C B

A

● 图为由八选一数据选择器构成的组合逻辑电路,图中a 1a 0b 1b 0为两个二位二进制数,试

列出电路的真值表,并说明其逻辑功能。(12分)

● 用八选一数据选择器实现逻辑函数F=D C B A 。(10分) ● 某电路如下所示,试分析其功能。要求写出真值表和逻辑表达式。

● 试用3线—8线译码器74LS138和门电路实现下列函数。(10分)

Z (A 、B 、C )=AB+A C

● 某组合逻辑电路的输入、输出信号的波形如图3所示。

1)写出电路的逻辑函数表达式; 2)用卡诺图化简逻辑函数;

3)用8选1数据选择器74HC151(图4所示)实现该逻辑函数。

A

B C

D

L

输入

输出(LSB )

(MSB )图3 图4

● 由译码器74HC138和逻辑门电路组成的电路如图5所示,试写出输出1L 和2L 的逻辑表

达式并化简。

001

C B A

L 1

L 2

图5

第五章

● 在同步工作条件下,JK 触发器的现态Q n

=0,要是它的Q

n +1

=0,则应使 。

A .J =×,K=0

B .J =0,K =×

C .J =1,K =×

D .J =K =1

● 一个触发器可以记忆

种状态,则五个触发器可以记忆的状态共 个。

● D 触发器的状态方程是_________________; JK 触发器的状态方程是_________________; ● 已知负边沿JK 触发器JK 的波形图,试绘出输出信号Q 和Q 的波形图。(设初态为0)

● 已知CP 波形,试画出在CP 信号下各触发器输出端的电压波形,要求有适当的步骤。(设

触发器初态为0)

●试写出下图所示电路的特征方程,并说明它是何种功能的触

发器。

●从结构RS触发器各输入端的电压波形如下图所示,试分别画出RS触发器输出端Q及Q

的波形。(共计8分)

●TTL集成JK触发器正常工作时,其d R和d S端应接电平。

●用T触发器和异或门构成的某种电路如图5(a)所示,在示波器上观察到波形如图5(b)

所示。试问该电路是如何连接的?请在原图上画出正确的连接图,并标明T的取值。

第六章

● 在下列电路中,不属于时序逻辑电路的是 。

A .计数器

B .寄存器

C .全加器

D .分频器

● 为了把串行输入的数据转换为并行输出的数据,可以使用 。

A. 寄存器

B. 移位寄存器

C. 计数器

D. 加法器 ● 米利型时序逻辑电路的输出 。

A. 只与当前输入信号有关

B. 只与内部状态有关

C. 与输入信号和内部状态都有关

D. 与输入信号和内部状态都无关 ● 摩尔型时序逻辑电路的输出 。

A. 只与当前输入信号有关

B. 只与内部状态有关

C. 与输入信号和内部状态都有关

D. 与输入信号和内部状态都无关

● 同步时序逻电路和异步时序逻电路比较,其差别在于后者( )

A.没有触发器

B. 没有统一的时钟脉冲控制 C .没有稳定状态 D. 输出只与内部状态有关 ● 已知时钟脉冲频率为cp f ,欲得到频率为0.2cp f 的矩形波应采用( )。

A. 五进制计数器

B. 5位二进制计数器

C. 单稳态触发器

D. 多谐振荡器

● 设图2所示电路的现态0001=Q Q ,经三个CP 脉冲后的状态=01Q Q ( )。

A. 00

B. 01

C. 10

D. 11

● 描述时序电路逻辑功能的方程有输出方程、激励方程和 方程。 ● 设触发器初态均为零。

(1) 已知CP 、A 的波形,画出输出端B 、C 的波形。(6分)

已知电路及CP 、A 的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出

B C A

输出端B 和C 的波形。 (8分)

B

C

74LS161是同步4位二进制加法计数器,其逻辑功能表如下,试分析下列电

路是几进制计数器,并画出其状态图。(7分)

CP

“1” “1” “1”

● 同步时序逻辑电路及输入信号波形如图6所示,分析电路,列出状态表,画

出0Q 、1Q 和Z 的波形图。(设触发器初态均为0)

X CP X

图6

● 图示电路中,已知CP 、D 的波形,设初态为0, (1)画出输出端Q 1、Q 2、Q 3的波形。 (2) 说明电路的逻辑功能。(8分)

● 分析如图所示同步时序逻辑电路,要求写出:1.输出方程和激励方程;2.次态方程;3.

状态转换表和状态转换图;4.

时序图;5.说明它的逻辑功能。(17分)

Q 3

CP D

Q 1

Q 2

Q 3

●分析下列异步时序逻辑电路,要求写出:1.时钟方程;2.输出方程;3.激励方程;4.次态

方程。(10分)

●由JK触发器组成一时序电路,画出其完整状态转换图,说明是一个几进制的计数器,

画出波形图(至少八个CP脉冲),设初态均为零。(15分)

●时序逻辑电路的输出状态的改变( )

A.仅与该时刻输入信号的状态有关;

B. 仅与时序电路的原状态有关;

C. 与A.、B.皆有关

D.输出信号的次态

●用四位二进制加法计数器74LS161接成11进制计数器。并画出其有效状态循环图。

CP

●分析下列所示时序电路图,写出电路的驱动方程、状态方程和输出方程,画出电路的状

态转换图,描述电路的逻辑功能并说明该电路能否自启动。(共计18分)

●试用负边沿D触发器设计一同步时序逻辑电路,其状态图如图7所示。

1)列出状态表;

2)写出激励方程和输出方程。

第七章

●有一个1024×8位的RAM,该RAM的地址端数为,每次访问个存储

单元。

●只能一次写入信息的存储器是,可多次改写存储内容的存储器

是。

A.ROM,PROM B.PROM,EPROM C.ROM,EPROM D.RAM,EPROM

●存储器在读/写的同时需要进行数据刷新。

A.ROM B.静态RAM C.PROM D.动态RAM

●存储器的存储容量是指。某一存储器的地址线为A14~A0,数据线为D3~

D0,其存储容量是。

图6所示是16*4位ROM和同步十六进制加法计数器74LS161组成的脉冲分频电路。ROM中的数据见表1所示。试画出在CP信号连续作用下的D3、D2、D1、D0输出的电压波形,并说明它们和CP信号频率之比。(16分)

CP波形如图所示:

第八章

●为了提高多谐振荡器频率的稳定性,最有效的方法是()。

A. 提高电容、电阻的精度

B. 提高电源的稳定度

C. 采用石英晶体振荡器

D. 保持环境温度不变

●施密特触发器的主要用途__________、_________、__________。

●能自动产生矩形脉冲的是。

A.施密特触发器 B.单稳态触发器 C.T触发器 D.多谐振荡器

●集成单稳态触发器分为和两种类型。

●单稳态触发器的输出脉冲宽度取决于。

A触发信号周期 B.触发信号幅值 C.触发信号宽度 D.电路外接R、C值

●单稳态触发器,不可用于()

A. 延时与定时

B. 多谐振荡器

C. 消除噪声

D. 接口

●如下图3(a)所示的施密特触发器电路中,已知R1=5kΩ,R2=15kΩ。其中G1和G2为CMOS

反相器,已知V DD=15V。

(1)试计算电路的正向阈值电压V T+、负向阈值电压V T-和回差电压ΔV T。(3分)

(2)画出该施密特触发器的转移特性;(4分)

(3)若将图b给出的电压信号加到图a电路的输入端,试在b图下方画出电压V O的波

形。(5分)

图 3

●555定时器由、电压比较器、、放电三极管和组成。

●555定时器构成的基本施密特触发器没有外接控制电压时,正、负向阈值电压分别为

和,回差电压为。

●在图1用555定时器组成的施密特触发器电路中,它的回差电压等于()。

A. 5V

B. 2V

C. 4V

D. 3V

图1

● 555定时器电路中,设D 是理想二极管。(1)图示是什么电路?(2)画出v C 和v O 的

波形。(3)如改变R 2,会影响v O 波形中的什么参数。(4)若C=0.01μF 、R 1=100k Ω、R 2=20k Ω,试计算输出波形的频率。(12分)

● 根据555的功能表对下图所示电路进行分析,问:

1. 该电路是由555定时器构成的什么电路?

2. 在右图上标出Vc 及Vo 的波形,并标出输出脉冲宽度t w 位置和Vc 达到

最大值时的数值.

3. t w 和哪些元器件取值有关?

CC

O

μF

Vcc

Vi

Vi

Vc

Vo

● 555定时器的功能表如下,(1)简单分析下图电路的工作原理,(2)该555定时器组成什么

电路,(3)画出相应的输出波形。(5分)

● 由555定时器和4位二进制加计数器74HC161组成的时序电路如图8所示。(10分)

1、试问555定时器组成的是什么功能电路?计算输出信号o1v 的周期;

2、试问74HC161组成多少进制计数器?画出其状态图;

3、设计数器初始状态为00000123 Q Q Q Q ,计数器循环计数一个周期所需时间大约是多少?

O

u I

V CC

1 3 V

2

3 V

u o

u I t

t

R

C R 1μ

140k 1.5k

图8

第九章

● 一般ADC 的转换过程由 、 、 和 4

个步骤来完成。 ● 8位并行比较型A/D 转换器中的电压比较器有 个。 ● 7位D/A 转换器的分辨率为 。

● A/D 转换器的一般工作过程有取样与 , 与编码。

● 若要求D/A 转换器的精度要小于0.25%,至少应选多少位的D/A 转换器?(2分) ● 8位D/A 转换器当输入数字量10000000为5v 。若只有最低位为高电平,则输出电压为

( )V ;当输入为10001000,则输出电压为 V 。

● 就逐次逼近型和双积分型两种A/D

转换器而言,( )的抗干扰能力强,( )的

转换速度快。

● 图示D/A 转换器。已知R=10K Ω,V ref =10V ;当某位数为0,开关接地,为1时,开关接

运放反相端。试求(1)V 0的输出范围;(2)当D 3D 2D 1D 0=0110时,V 0=?(6分) v O

数电习题及答案

一、 时序逻辑电路与组合逻辑电路不同, 其电路由 组合逻辑电路 和 存储电路(触发器) 两部分组成。 二、描述同步时序电路有三组方程,分别是 驱动方程 、状态方程 和 输 出方程 。 三、时序逻辑电路根据触发器的动作特点不同可分为 同步时序逻辑电路 和 异步时序逻辑电路 两大类。 四、试分析图 T7.5时序电路的逻辑功能,写出电路的驱动方程、状态方程 和输出方程,画出电路的状态转换图和时序图。 解:驱动方程: 00110 1J K J K Q ==== 状态方程: 1001 1 10 10n n Q Q Q Q Q Q Q ++==+ 输出方程: 10Y Q Q = 状态图:功能:同步三进制计数器

五、试用触发器和门电路设计一个同步五进制计数器。 解:采用3个D触发器,用状态000到100构成五进制计数器。 (1)状态转换图 (2)状态真值表 (3)求状态方程

(4)驱动方程 (5)逻辑图(略) [题7.1] 分析图P7.1所示的时序电路的逻辑功能,写出电路驱动方程、状态转移方程和输出方程,画出状态转换图,并说明时序电路是否具有自启动性。 解:触发器的驱动方程 2 0010210 10 21 1 J Q K J Q J Q Q K Q K ====???? ? ? ==??? 触发器的状态方程

1 20 0 1 10 101 1 2 210 n n n Q Q Q Q Q Q Q Q Q Q Q Q + + + = =+ = ? ?? ? ? ?? 输出方程 2 Y Q = 状态转换图如图A7.1所示 所以该电路的功能是:能自启动的五进制加法计数器。 [题7.3] 试分析图P7.3时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,并检查电路能否自启动。 解:驱动方程 输出方程 状态方程 状态转换图如图 A7.3所示 01 J X Q =⊕01 K= 10 J X Q =⊕ 1 1 K= 10 () Z X Q Q =⊕? 1 0000010 () n Q J Q K Q X Q Q +=+=⊕ 1 1111101 () n Q J Q K Q X Q Q +=+=⊕?

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

数电实验考试 verilogHDL语言及参考程序

题目一:表决器电路设计 一、设计任务及原理:所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。 七人表决器顾名思义就是由七个人来投票,当同意的票数大于或者等于4时,则认为同意;反之,当否决的票数大于或者等于4时,则认为不同意。实验中用7个拨动开关来表示七个人,当对应的拨动开关输入为‘1’时,表示此人同意;否则若拨动开关输入为‘0’,则表示此人反对。表决的结果用一个LED表示,若表决的结果为同意,则LED被点亮;否则,如果表决的结果为反对,则LED不会被点亮。同时,数码管上显示通过的票数。 二、具体要求: 本实验就是利用实验系统中的拨动开关模块和LED模块以及数码管模块来实现一个简单的七人表决器的功能。拨动开关模块中的K1~K7表示七个人,当拨动开关输入为‘1’时,表示对应的人投同意票,否则当拨动开关输入为‘0’时,表示对应的人投反对票;LED模块中LED1表示七人表决的结果,当LED1点亮时,表示此行为通过表决;否则当LED1熄灭时,表示此行为未通过表决。同时通过的票数在数码管上显示出来。 在此实验中数码管、LED、拨动开关与FPGA的连接电路和管脚连接使用模块 信号 对应FPGA 管脚 说明

在以前的实验中都做了详细说明,这里不在赘述。端口名 S1 按键开关 S1 R16 表示1号抢 答者 S2 按键开关 S2 P14 表示2号抢 答者 S3 按键开关 S3 P16 表示3号抢 答者 S4 按键开关 S4 P15 表示4号抢 答者 S5 按键开关 S5 M15 表示5号抢 答者 S6 按键开关 S6 N16 表示6号抢 答者 S7 按键开关 S7 N15 表示7号抢 答者 DOUT0 LED模块D1 L14 表决结果 亮为通过 LEDAG0 数码管模 块A段N4 抢答成功 者 号码显示 LEDAG1 数码管模 块B段 G4

成贤数字电路实验习题答案

数字电路习题答案(第一、二次实验) 2009-12-18 09:10 实验一: 1. 在示波器处于“内触发”、“常态”扫描方式时,若改变电压灵敏度(V/div),特别是降低它,则可能会使信号波形消失。问若是“外触发”,是否也会影响信号波形的显示呢? 解:这道题主要从以下几种情况来分析: A.示波器是“内触发”,而误打到“外触发”的情况下,如果是“自动”扫描方式,示波器有波形显示,但是不会稳定;如果是“常态”扫描方式,示波器没有波形显示; B.示波器确实是“外触发”,则要求外触发信号与被测信号的频率和相位都相关,这时波形才有可能稳定。 C.示波器在“外触发”工作时,若改变电压灵敏度,会影响波形的显示。当扫描方式为“常态”时,如果降低它,可能会使波形消失,原因是降低了电压灵敏度的同时也降低了触发信号的电平,当触发电平降低到一定的程度,就不足以使触发器工作,触发器不工作,扫描发生器也就不能工作产生扫描电压,波形就消失了。 2. 实验内容3中,如何用示波器观察CH1+CH2的波形? 解:要观察CH1+CH2的波形,只要使示波器的显示方式处于“叠加”,同时保证CH1和CH2的电压灵敏度保持一致就可以了。 3. 简述用示波器测量TTL高、低电平的步骤。 解:将函数发生器输出TTL波形(f=1kHz)接到示波器一个通道上;示波器扫描方式打“AUTO”;电压灵敏度选择旋钮和时基因数选择旋钮处于适当的位置(比如1V/div和0.2ms/div);微调旋钮都处于“校准”位置;把输入耦合方式打到“GND”,确定零电平线的位置,再打到“DC”,读出高低电平值。 4. 对于方波和三角波,交流毫伏表的指示值是否它们的有效值?如何根据交流毫伏表的指示值求得方波或三角波的有效值?

数电试题及答案

通信071?5 班 20 08?20 09 学年第二学期 《数字电子技术基础》 课试卷试卷 类型:A ■卷 单项选择题(每小题2分,共24 分) 1、 8421BCD 码01101001.01110001转换为十进制数是: A : 78.16 B : 24.25 C : 2、 最简与或式的标准是: (c ) A:表达式中乘积项最多,且每个乘积项的变量个数最多 变量个数最多 C:表达式中乘积项最少,且每个乘积项的变量个数最少 变量个数最多 3、 用逻辑函数卡诺图化简中,四个相邻项可合并为一项 A :消去1个表现形式不同的变量,保留相同变量 B:消去2个表现形式不同的变量,保留相同变量 C :消去3个表现形式不同的变量,保留相同 D:消去4个表现形式不同的变量,保留相同变量 4、 已知真值表如表 1所示,则其逻辑表达式为: A: A ? B ? C B: AB + BC C: AB + BC D: ABC (A+B+C ) 5、 函数 F(A , A: F(A,B,C)= B: F(A,B,C)= C: F(A,B,C)= D: F(A,B,C)= B ,C)=AB+BC+AC 的最小项表达式为: E m E m E m E m (0, (3, (0, (2, 2, 5, 2, 4, 4) 6, 3, 6, 7) 4) 7) 6、 欲将一个移位寄存器中的二进制数乘以( A: 32 B : 10 7、 已知74LS138译码器的输入三个使能端( E 1=1, 是:(C ) A :::: (c 69.71 ,它能: 变量 32) 10需要 n 1 n = Q ,JK 触发器的J 、K 取值应是: B: J=0, K=1 (B ) B :集电极开路门 D : 54.56 B :表达式中乘积项最少,且每个乘积项的 D:表达式中乘积项最多,且每个乘积项的 (B ) (B ) ( C : _ E 2A =E 2B = 0 ) )个移位脉冲。 D : 6 _ _ A 2A 1A O =011,则输岀 丫厂?丫0 时,地址码 8、 要实现Q =Q A: J=0, K=0 9、 能够实现线与功能的是: A: TTL 与非门 10、 个四位串行数据,输入四位移位寄存器,时钟脉冲频率为 输岀。 A : 8ms B : 4ms 11、 表2所列真值表的逻辑功能所表示的逻辑器件是: A B C D (D ) C: J=1, K=0 D : J=1, K=1 C :三态逻辑门 1kHz ,经过 D : CMOS 逻辑门 B )可转换为4位并行数据 译码器 选择器 优先 编码器 比 较器 输入 I 7 I 6 I 5 I 4 I 3 I 2 I 1 12、 A: B: C: D: 图1所示为2个4位二进制数相加的串 11000 11001 10111 10101 接全力X 器逻辑电路图X 运算后 的 0 0 0 0 0 0 0 1 0 0 0 0 0 X 1 0 0 图 31 0 0 (A )

数电试题库(新)

第一、二章数制转换及逻辑代数 一、完成下列数制转换 (11001)2=()10;(32)10=()2;(110101.01)2=()10 (132.6)10=()8421BCD; 二、试分别用反演规则和对偶规则写出下列逻辑函数的反函数式和对偶式。 1、Y=错误!未找到引用源。+CD 2、Y=错误!未找到引用源。C 3、Y=错误!未找到引用源。D 4、Y= A错误!未找到引用源。B 5、Y=A+错误!未找到引用源。 6、Y=ABC+错误!未找到引用源。错误!未找到引用源。 三、用公式法化简为最简与或式: 1、Y=错误!未找到引用源。C+错误!未找到引用源。A 2、Y=错误!未找到引用源。错误!未找到引用源。C+错误!未找到引用源。BC+A 错误!未找到引用源。C+ABC 3、Y=错误!未找到引用源。(A+B) 4、Y=A错误!未找到引用源。(C+D)+D+错误!未找到引用源。 5、C B C B B A B A Y+ + + = 四、证明利用公式法证明下列等式 1、错误!未找到引用源。错误!未找到引用源。+错误!未找到引用源。错误!未找到引用源。+BC+错误!未找到引用源。错误!未找到引用源。错误!未找到引用源。=错误!未找到引用源。+ BC 2、AB+BCD+错误!未找到引用源。C+错误!未找到引用源。C=AB+C 3、A错误!未找到引用源。+BD+CBE+错误!未找到引用源。A错误!未找到引用源。+D 4、AB+错误!未找到引用源。错误!未找到引用源。+ A错误!未找到引用源。+错误!未找到引用源。B=错误!未找到引用源。) 5、AB(C+D)+D+错误!未找到引用源。(A+B)(错误!未找到引用源。+错误!未找到引用源。)=A+B错误!未找到引用源。+D 五、用卡诺图化简函数为最简与-或表达式 1、Y(A,B,C,D)=错误!未找到引用源。B+错误!未找到引用源。C+错误!未找到引用源。错误!未找到引用源。+AD 2、Y(A,B,C,D)=错误!未找到引用源。错误!未找到引用源。C+AD+错误!未找到引用源。(B+C)+A错误!未找到引用源。+错误!未找到引用源。错误!未找到引用源。 3、Y(A,B,C,D)=错误!未找到引用源。 4、Y(A,B,C,D)=错误!未找到引用源。 六、选择题 1. 在N进制中,字符N的取值范围为:() A.0 ~ N B.1 ~ N C.1 ~ N -1 D.0 ~ N-1 3. 二进制数1110111.11转换成十进制数是() A.119. 125 B.119. 3 C.119 . 375 D.119.75 4、数字信号的特点是() A.在时间上和幅值上都是连续的。B.在时间上是离散的,在幅值上是连续的。 C.在时间上是连续的,在幅值上是离散的。D.在时间上和幅值上都是不连续的。 5、下列各门电路符号中,不属于基本门电路的是()

数电实验答案

实验一、常用电子仪器的使用 一、实验目的 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 二、实验原理 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领: 1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围(选定一个频率分挡开关按下)、分别调节频率粗调和细调旋钮,在 频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 操作要领: 1)为了防止过载损坏仪表,在开机前和测量前(即在输入端开路情况下)应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。 操作要领: 1)时基线位置的调节开机数秒钟后,适当调节垂直(↑↓)和水平(←→)位移旋钮,将时基线移至适当的位置。 2)清晰度的调节适当调节亮度和聚焦旋钮,使时基线越细越好(亮度不能太亮,一般能看清楚即可)。 3)示波器的显示方式示波器主要有单踪和双踪两种显示方式,属单踪显示的有“Y1”、“Y2”、“Y1+Y2”,作单踪显示时,可选择“Y1”或“Y2”其中一个按钮按下。属双踪显示的有“交替” 和“断续”,作双踪显示时,为了在一次扫描过程中同时显示两个波形,采用“交替”显示方式, 当被观察信号频率很低时(几十赫兹以下),可采用“断续”显示方式。 4)波形的稳定为了显示稳定的波形,应注意示波器面板上控制按钮的位置:a)“扫描速率”(t/div)

电工学实验答案

哈哈、b两端电压测量的准确性。 电流表的内阻越小越好,以减小其上的电压,以保证a、b支路电流测量的准确性。 实验4 RLC串联交流电路的研究 七、实验报告要求及思考题 2列表整理实验数据,通过实验总结串联交流电路的特点。 答:当X L X C时,电路呈电感性,此时电感上的电压大于电容上的电压,且电压超前电流。 当X L=X C时,电路发生串联谐振,电路呈电阻性,此时电感上的电压与电容上的电压近似相等,且大于输入电压。电路中的电流最大,电压与电流同相位。 4从表4.1~4.3中任取一组数据(感性、容性、电阻性),说明总电压与分电压的关系。答:取f=11kHz时的数据:U=6V,U R=3.15V,U Lr=13.06V,U C=8.09V,将以上数据代入 公式 2 2 2 2) ( ) ( C L C L R X X R I U U U U- + = - + = =5.88V,近似等于输入电压6V。 6实验数据中部分电压大于电源电压,为什么? 答:因为按实验中所给出的频率,X L及X C的值均大于电路中的总阻抗。 9本实验中固定R、L、C参数,改变信号源的频率,可改变电路的性质。还有其它改变电路性质的方法吗? 答:也可固定频率,而改变电路中的参数(R、L、C)来改变电路的性质。 实验5 感性负载与功率因数的提高 七、实验报告要求及思考题 6根据表5.2所测数据和计算值,在坐标纸上作出I=f(C)及cos ?= f(C)两条曲线。 说明日光灯电路要提高功率因数,并联多大的电容器比较合理,电容量越大,是否越高? 答:并联2.88uF的电容最合理,所得到的功率因数最大.由实验数据看到,并联最大电容4.7uF时所得的功率因数并不是最大的,所以可以得出,并不是电容量越大,功率因数越高. 8说明电容值的改变对负载的有功功率P、总电流I,日光灯支路电流I RL有何影响?答:电容值的改变并不会影响负载的有功功率及日光灯支路的电流. 11提高电路的功率因数为什么只采用并联电容法,而不采用串联法? 答:因为串联电容虽然也可以提高功率因数,但它会使电路中的电流增大,从而增大日光灯的有功功率,可能会超过它的额定功率而使日光灯损坏. 实验6 三相交流电路 七、实验报告要求及思考题 2根据实验数据分析:负载对称的星形及三角形联接时U l与U p,I l与I p之间的关系。分析星形联接中线的作用。按测量的数据计算三相功率。

(完整版)数电试题及答案

通信 071~5 班 20 08 ~20 09 学年 第 二 学期 《数字电子技术基 础》 课试卷 试卷类型: A 卷 一、 单项选择题(每小题2分,共24分) 1、8421BCD 码01101001.01110001转换为十进制数是:( ) A :78.16 B :24.25 C :69.71 D :54.56 2、最简与或式的标准是:( ) A :表达式中乘积项最多,且每个乘积项的变量个数最多 B :表达式中乘积项最少,且每个乘积项的变量个数最多 C :表达式中乘积项最少,且每个乘积项的变量个数最少 D :表达式中乘积项最多,且每个乘积项的变量个数最多 3、用逻辑函数卡诺图化简中,四个相邻项可合并为一项,它能:( ) A :消去1个表现形式不同的变量,保留相同变量 B :消去2个表现形式不同的变量,保留相同变量 C :消去3个表现形式不同的变量,保留相同变量 表1 D :消去4个表现形式不同的变量,保留相同变量 4、已知真值表如表1所示,则其逻辑表达式为:( ) A :A ⊕B ⊕C B :AB + BC C :AB + BC D :ABC (A+B+C ) 5、函数F(A ,B ,C)=AB+BC+AC 的最小项表达式为:( ) A :F(A,B,C)=∑m (0,2,4) B :F(A,B,C)=∑m (3,5,6,7) C :F(A,B,C)=∑m (0,2,3,4) D :F(A,B,C)=∑m (2,4,6,7) 6、欲将一个移位寄存器中的二进制数乘以(32)10需要( )个移位脉冲。 A :32 B : 10 C :5 D : 6 7、已知74LS138译码器的输入三个使能端(E 1=1,E 2A =E 2B =0)时,地址码A 2A 1A 0=011,则输出Y 7 ~Y 0是:( ) A :11111101 B :10111111 C :11110111 D :11111111 8、要实现n 1n Q Q =+,JK 触发器的J 、K 取值应是:( ) A :J=0,K=0 B :J=0,K=1 C :J=1,K=0 D :J=1,K=1

数字电路 实验考试内容 西北农林科技大学

西北农林科技大学 数字电路 实验考试内容 实验八(一) 时序逻辑电路设计 一、设计内容 1.用JK 触发器设计一个8421码十进制同步加法计数器。 时钟信号CP 由实验箱的“单次”或1Hz 自动秒脉冲提供,计数器输出状态用实验箱上的LED 数码管检测,记录实验结果。 用实验箱上的1kHz 时钟信号作为计数器的计数脉冲CP ,用示波器观察并记录CP 及计数器各输出端的对应波形。 2.用D 或JK 触发器设计一个110串行序列信号检测器。 输入信号由电平输出器提供,时钟信号CP 接逻辑实验箱的“单次” 时钟信号。当连续输入信号110时,该电路输出1,否则输出0。设依次送入的信号为001101110。 3.用D 触发器设计一个同步四相时钟发生器,其输入时钟CP 及各输出波形如图实验8.1所示。 输入时钟CP 为1kHz 时钟信号,用示波器观察时钟信号CP 与各输出端对应的波形。 二、设计要求 1.用Mutisim2001进行电路仿真。 2.画出时序逻辑电路原理图。 3.叙述集成触发器的逻辑功能和使用。 4.写出设计过程,并记录实验结果。 Q 1 Q 2 Q 3 Q 4 CP Q 1 Q 2 Q 3 Q 4 图实验8.1 四相时钟发生器输入、输出波形

三、设计过程 1. 用JK 触发器设计一个8421码十进制同步加法计数器。 (1).状态真值表: , (3)电路图: 2.用D 或JK 触发器设计一个110串行序列信号检测器。 (1)状态图: >C1 >C1 >C1 >C1

(2)原始状态表: A:00 B:10 C:11 现态 ( (6)激励方程:, (7)电路图:

最新数电试题库试卷1

1.将二进制数化为等值的十进制和十六进制: (1100101)2=( 101 )10 =( 65 )16 2.写出下列二进制数的原码和补码: (-1011)2=( 11011 )原=( 10101 )补 Y的电平依次为3.输出低电平有效的3线– 8线译码器的输入为110时,其8个输出端0 7~Y 10111111 。 *; 4.写出J、K触发器的特性方程:Q Q+ = Q K J 5. TTL集电极开路门必须外接__上拉电阻______才能正常工作。 1.余3码10001000对应的8421码为(A )。 A.01010101 B.10000101 C.10111011 D.11101011 2.使逻辑函数) B A B =为0的逻辑变量组合为( D ) C + + F+ (C A ' ' )( ' ' )( A. ABC=000 B. ABC=010 C. ABC=011 D. ABC=110 3.标准或-与式是由( C )构成的逻辑表达式。 A.与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 4. 由或非门构成的基本R、S触发器,则其输入端R、S应满足的约束条件为(B)。 A. R+S=0B. RS=0C. R+S=1D.RS=1 5.一个8选一数据选择器的地址输入端有(C )个。 A.1 B.2 C.3 D.8 6.RAM的地址线为16条,字长为32,则此RAM的容量为( D )。 A.16×32 位 B. 16K×32位 C. 32K×32位 D.64K×32位 7.要使JK触发器在时钟作用下的次态与现态相反,JK端取值应为(D )。 A.JK=00 B. JK=01 C. JK=10 D. JK=11 8. 用8个触发器可以记忆( D )种不同状态. A.8 B.16 C.128 D.256 9. 多谐振荡器可以产生下列哪种波形( B ) A.正弦波 B.矩形脉冲 C.三角波 D.锯齿波 10.输出在每个时钟周期翻转一次的触发器是( A )。

数字电路实验考试参考题目

数字电路实验考试参考题目 1.请采用两种方法(分别用与非门器件和数据选择器)设计一个三人表决器。 2.请采用两种方法(分别用与非门器件和数据选择器)设计一个四人表决器。 3.采用数据选择器(74LS151)设计完成下列逻辑函数: F1=A BC+A B D+B C D+AC D; F2=ABC+BCD+ACD+ABD 4.利用JK触发器设计一个异步四进制计数器(可采用74LS73),并用示波器观测电路输 入、输出波形。 5.设计一个模21的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 6.设计一个模22的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 7.设计一个模23的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 8.设计一个模24的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 9.设计一个模25的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 10.设计一个模20的计数器(可采用74LS390或74LS192等),用发光二极管观察电路的 所有有效计数状态;并用示波器观测计数器的输入输出端波形。 11.采用移位寄存器设计一个具有自启动功能的四位环形计数器,记录电路所有状态(包括 由偏离态进入有效循环的过程),并画出状态转移图。 12.设计一个具有自启动功能的、有效状态分别为1000,0100,0010,0001的四位右移环 形计数器。 13.设计一个具有自启动功能的、有效状态分别为0001,0010,0100,1000的四位左移环 形计数器。 14.设计一个具有自启动功能的、有效状态分别为1110,1101,1011,0111的四位左移环 形计数器。 15.设计一个具有自启动功能的、有效状态分别为1110,0111,1011,1101的四位右移环 形计数器。 16.设计一个具有自启动功能的、有效状态分别为1100,1001,0011,0110的四位左移环 形计数器。 17.设计一个具有自启动功能的、有效状态分别为1100,0110,0011,1001的四位右移环 形计数器。 18.采用2MHZ的晶体振荡器、与非门、电阻等器件设计一个晶体稳频多谐振荡电路,经 分频后,电路输出脉冲信号频率为1MHZ。 19.采用555定时器设计电路,要求输出一个频率为1KHZ的脉冲信号,并用示波器观测电 路输出波形。 20.采用大规模集成存储器、编程器、计数器等元件和设备,设计完成一个八路彩灯控制电 路。 (可能还有小范围调整,请大家继续关注网站通知)

数电实验答案

一、TTL测试 1.主要参数有哪些?测试参数的意义何在? 2.怎样测量与非门输出的高低电平?高低电平的取值范围? 3.测量Iil或Iolm时电流档不能用,怎么办? 4.在扇出系数测试电路中电位器和220欧电阻有什么用?为什么要使Uo=0.4V,此系数计算结果若为23.9,取多少? 二、组合逻辑电路 1、组合逻辑电路与时序逻辑电路的区别有哪些/? 2、设计组合逻辑电路的步骤。 3、设计半加器、全加器、比较器、点灯控制等逻辑电路。 三、译码器 1、什么是译码器?本实验用的74LS38和CC4511有什么区别? 2、怎样用138和74LS20设计全加器?步骤? 3、怎么用138设计反码器? 4、描述数码管种类、结构? 5、设计编码到译码显示的电路显示2014。 四、选择器 1、介绍四选一和八选一选择器的逻辑功能。 2、怎样用选择器实现逻辑函数或功能电路? 3、设计全加器或三人表决器。 五、触发器 1、画出用与非门构成基本QS触发器电路图。 2、叙述J-K触发器功能,填功能表。 3、描述T,T’触发器,CP-SQ脉冲关系。 六、计数器 1、怎样用D触发器构成四位数的二进制异步加法器、?讲解其工作原理,注意哪些事项? 2、讲述用74LS192构成二位十进制计数器电路。 3、用192构成任意进制计数器,讲解原理。 七、抢答器 1.讲述抢答器工作原理 2.锁存电路怎样锁存,主持人怎样控制清零和宣布抢答开始? 3.此实验原理电路存在哪些缺点和不足,怎样改进? 4.抢答器灵敏度与哪些因素有关?怎样分析影响。 八、数电常识 1、TTL逻辑门引脚规则。 2、TTL电源的范围 3、怎样使用集成块 4、数字电路故障原因通常有哪些? 5、边沿怎样产生的?能否用逻辑开关产生? 6、脉冲信号与函数波信号的区别? 7、TTL逻辑门输入端悬空相当于什么电平? 8、怎样由与非门变非门? 9、本学期数字电路接触了哪些集成块? 10、TTL集成电路使用规则?

数电习题及答案

一、时序逻辑电路与组合逻辑电路不同,其电路由 组合逻辑电路 和 存储电路(触发器) 两部分组成。 二、描述同步时序电路有三组方程,分别是 驱动方程 、状态方程 和 输出方程 。 三、时序逻辑电路根据触发器的动作特点不同可分为 同步时序逻辑电路 和 异步时序逻辑电 路 两大类。 四、试分析图T7.5时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的 状态转换图和时序图。 解:驱动方程: 00110 1J K J K Q ==== 状态方程: 10011 10 10n n Q Q Q Q Q Q Q ++==+ 输出方程:10Y Q Q = 状态图:功能:同步三进制计数器 五、试用触发器和门电路设计一个同步五进制计数器。 解:采用3个D 触发器,用状态000到100构成五进制计数器。 (1)状态转换图

(2)状态真值表 (3)求状态方程 (4)驱动方程 (5)逻辑图(略) [题7.1] 分析图P7.1所示的时序电路的逻辑功能,写出电路驱动方程、状态转移方程和输出方程,画出状态转换图,并说明时序电路是否具有自启动性。

解:触发器的驱动方程 20010210 102 11J Q K J Q J Q Q K Q K ====???? ? ? ==??? 触发器的状态方程 120 01 1010112210 n n n Q Q Q Q Q Q Q Q Q Q Q Q +++==+=??????? 输出方程 2Y Q = 状态转换图如图A7.1所示 所以该电路的功能是:能自启动的五进制加法计数器。 [题7.3] 试分析图P7.3时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,并检查电路能否自启动。 解:驱动方程 01J X Q =⊕01 K =10 J X Q =⊕11 K =

东南大学数字电路实验考试——教务考试监考装置

数字电路期末考题二 一、教务处需要一考试监考装置:设定每场考试为2小时,假设一个时钟周期是10分钟,用两个数码管分别显示分钟的十位和小时的个位。当到半小时的时候,红灯亮持续10分钟后灭,提醒监考老师没来的考生不得入场,在场的考生可以交卷离开。当到1小时50分时,黄灯亮持续10分钟后灭,提醒监考老师考试时间将到,准备收卷。 要求: 1.简单写出设计过程,画出逻辑电路图(30分) 2.根据设计搭试电路(15分) 3.用单脉冲验证电路(由老师检查)(25分) 4.用双踪示波器或者逻辑分析仪观察并分别绘出输入时钟和分钟十位输出时的Q m2、Q m1、Q m0输出波形。(10分) 二、简答 几个三态门的输出端是否允许短接?有无条件限制,应注意什么问题? OC门的输出端是否允许短接,结果是什么?(20分) 页脚内容1

数字电路期末考题四(答案及评分标准) 1.简单写出设计过程,画出逻辑电路图(30分) 由题意,设时钟脉冲的周期为10分钟,则分钟部分可设计成模6计数器,整个监考装置是模12计数器,其功能见下表 页脚内容2

80001000100 90001001000 100001001100 110001010000 120001010101 130000000000逻辑电路图: 页脚内容3

评分:a、设计过程15分 b、逻辑电路图15分 2.电路接线符合基本规范,电源连接正确(15分); 3.用单脉冲验证电路(由老师检查)(25分) 4.波形记录符合规范(波形应注意相位对齐,并至少画满一个周期,方波的边沿一定要画出):波形描述正确且相位对齐8分(每个波形2分)方波边沿画出2分 CLK Qm2 Qm1 Qm0 二、简答题: 几个三态门的输出端允许短接,但有条件限制,不能同时有两个或两个以上三态门的控制端处于使能状态。(10分) OC门的输出端允许短接,但要在输出端接一个合适的上拉电阻和电源才能正常工作,结果是将各个OC门的输出相与。(10分) 页脚内容4

数电实验答案

数字电子技术 实验报告 实验一门电路逻辑功能及测试 (1) 实验二数据选择器与应用 (4) 实验三触发器及其应用 (8) 实验四计数器及其应用 (11) 实验五数码管显示控制电路设计 (17) 实验六交通信号控制电路 (19) 实验七汽车尾灯电路设计 (25)

班级:08030801 学号:2008301787 2008301949 姓名:纪敏于潇 实验一门电路逻辑功能及测试 一、实验目的: 1.加深了解TTL逻辑门电路的参数意义。 2.掌握各种TTL门电路的逻辑功能。 3.掌握验证逻辑门电路功能的方法。 4.掌握空闲输入端的处理方法。 二、实验设备: THD—4数字电路实验箱,数字双踪示波器,函数信号发射器,74LS00二输入端四与非门,导线若干。 三、实验步骤及内容: 1.测试门电路逻辑功能。 选用双四输入与非门74LS00一只,按图接线,将输入电平按表置位,测输出电平

用与非门实现与逻辑、或逻辑和异或逻辑。用74LS00实现与逻辑。 用74LS00实现或逻辑。用74LS00实现异或逻辑。 2.按实验要求画出逻辑图,记录实验结果。 3.实验数据与结果 将74LS00二输入端输入信号分别设为信号A 、B 用74LS00实现与逻辑 1A B A B =? 逻辑电路如下: 12 3 74LS00AN 4 5 6 74LS00AN A B A 端输入TTL 门信号, B 端输入高电平,输出波形如下: A 端输入TTL 门信号, B 端输入低电平,输出波形如下:

1、 用74LS00实现或逻辑 11A B A B A B +=?=???逻辑电路如下 12 3 74LS00AN 4 5 6 74LS00AN 910 8 74LS00AN c U1A B A 端输入TTL 门信号, B 端输入高电平,输出波形如下: A 端输入TTL 门信号, B 端输入低电平,输出波形如下:

数电试题

1. (30.25) 10 = ( )2 = ( )16 2. 三态门输出的三种状态分别为:、和。 3 . 主从型JK触发器的特性方程Q^(N+1)= 。 4 . 用4个触发器可以存储位二进制数。13、正逻辑的与门是负逻辑的;正逻辑或门是负逻辑的。 14、正逻辑的或非门是负逻辑的;正逻辑的与非门是负逻辑的。 15、在TTL三态门、OC门、与非门|异或门和或非门电路中,能实现“线与”逻辑功能的门为,能实现总线系统的门为。 16、TTL与非门的关门电平为0.7V,开门电平为1.9V,当其输入低电平为0.4V,高电平为3.2V时,其低电平输入噪声容限V NL为,输入高电平噪声容限为。 17.任意两个最小项之积恒为,全体最小项之和恒为。 18、逻辑函数F的卡诺图若全为1格,对应F= 。 19、通常逻辑函数的表示方法有、、和四种。 20、组合逻辑电路是指任何时刻电路的输出仅由当时的决定。 21、将本位的两个数和来自低位的进位数三者相加,这种加法运算称为。 22、在一系列异或逻辑运算中,当输入码中的1的个数为数个时,其输出为1。 23、一个二进制编码器若需要对12个输入信号进行编码,则要采用位二进制代码。 24、三变量输入译码器,其译码输出信号最多应有个。 25、用二进制表示有关对象(信号)的过程叫。一位二进制代码可以表示 信号。 26、若用一个四——十六线的译码器(高电平输出有效)实现函数F(A,B,C,D)=∑m(3,5,7,9,11,13)的表达式是F(A,B,C,D)= .。 57、一个二——十进制译码器规定为输出低有效,则当输入8421BCD码为0110时,其输出Y9 Y8 Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y0= 。 58、列出半加器的真值表: 59全加器与半器的区别。 60、固定ROM主要由地址译码器、和输出电路三部分组成。 62、按照电路组成和逻辑功能的不同,数字逻辑电路可分为: 65、一片4K?8的ROM的存贮器有个字,字长为位,有个片选端和根地址线。66、由与非门构成的基本RS触发器约束条件是。 69、主从RS触发器从根本上解决了基本RS触发器的 问题。 70、边沿JK触发器解决了主从JK触发器的 问题。 71、根据在CP控制下,逻辑功能的不同,常把时钟触发器分为五种类型。 72、JK触发器的特性方程为。 78、所谓时序电路是指电路的输出不仅与当时的 有关,而且与电路的有关。 79、在工作速度要求较高时,在同步计数器和异步计数器两者之中,应选用。 80、三级触发器若构成环型计数器,其模值为,若构成扭环型计数器,则其模值为。 81、由四个触发器构成的寄存器可以存入位 二进制代码。 89、由四个触发器构成计数器,它的计数状态最多为 个。 90、一个4K?8的RAM,有个8位字长的存储器,有根地址线和根数据线。 91、若需要将缓慢变化的三角波信号转换成矩形波,则采用电路。 92、对于微分型单稳态电路,正常工作时其输入脉冲宽度应输出脉冲宽度。 95、单稳态触发器有一个态和一个态。 96、石英晶体多谐振荡器的振频率仅决定于晶体本身的,而与电路中的 数值无关。 97、欲把输入的正弦波信号转换成同频的矩形波信号,可采用电路。 98、常用脉冲整形电路有和 两种。 99、施密特触发器有个稳定状态,多谐振荡 器有个稳定状态。 5.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 6.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC

数字电子技术试题库

数字电子技术 一、请对下列函数化简 1、1 F =AC+AB+BC+ACD 解: 1 F =AC+AB+BC+ACD F1=AC(1+D)+AB+BC =AC+AB+BC =A(B+C)+BC =A(B+C)+B+C 由A+AB=A+B 得出 1F =A+B+C=A+BC 2、 3、1F AB AC BC BCD BCE BCF =+++++ 解: 1F AB AC BC BCD BCE BCF =+++++ C A C B AB B C A C B A C B C B A AB ABC AB BC A ABC C B C B A C B A AB A A BC C B C C B A AB BC C B B A F ++=+++++=+++++=+++++=+++=)()()()()(1

1F AB A BC BCD BCE BCF =+?+++ AB C BD BE =+++ 4. 2F AB AC CD BCD BCE BCG BCF =++++++ 解: 2F AB AC CD BCD BCE BCG BCF =++++++ 2F AB AC CD BC BD BCE =+++++ AB AC CD BD BCE =++++ AC CD AD AB BD BCE =+++++ AC CD AD AD B BCE =+++?+ AC CD AD B BCE =++++ AC CD B CE =+++ 5、4(,,,)F A B C D AB AC ABD A CD CD =++++ 解: 4(,,,)F A B C D AB AC ABD A CD CD =++++

数电实验题目

前? 言 数字电路与逻辑设计实验作为电子、信息类专业的学科基础课,是一门重要的实践课程,具有很强的实践性。当今,现代电子技术飞速发展,电子系统设计方法、手段日新月异,众所周知,电子系统数字化已经成为电子技术和电子设计发展的必然趋势。为此,我院数字电路与逻辑设计实验课程也进行了相应的教学改革,开展了PLD、CPLD、FPGA等先进的EDA教学内容。与此同时,经过多年的实践教学总结和资料积累,我们感到要发展和应用先进电子技术,必须掌握牢固学科基础理论和基础应用,这在电子设计不断推陈出新的时代,更显得尤为重要。 本实验指导书是理论教学的延伸,旨在培养和训练学生勤奋进取、严肃认真、理论联系实际的工作作风和科学研究精神。通过本实验课,夯实数字电子技术基础理论的学习,进一步加强基本实验方法和基本实验技能的掌握,为培养锻炼学生的综合能力、创新素质打下坚实的基础。 本指导书按照教学大纲的要求编写,在前一版的基础上进行了修订,增减了部分内容,精心设计了14个典型的数字电路基础实验范例,基本涵盖了数字电路与逻辑设计课的教学内容。每个实验均给出了实验目的、预习要求、实验原理、内容、步骤和思考题,所有实验均可在纯硬件或EDA实验环境中完成。附录部分给出了实验箱的操作使用、实验中所使用到的集成电路管脚图,以及常用逻辑符号对照表,方便学生查阅。 限于编者水平有限,加之编写时间仓促,错误和疏漏之处在所难免,真诚希望各位教师和同学提出批评和改进意见。 实验一? 数字电路实验基础 一、实验目的 ⑴ 掌握实验设备的使用和操作 ⑵ 掌握数字电路实验的一般程序 ⑶ 了解数字集成电路的基本知识 二、预习要求 复习数字集成电路相关知识及与非门、或非门相关知识 三、实验器材 ⑴ 直流稳压电源、数字逻辑电路实验箱、万用表 ⑵ 74LS00、74LS02、74LS48 四、实验内容和步骤 1、实验数字集成电路的分类及特点 目前,常用的中、小规模数字集成电路主要有两类。一类是双极型的,另一类是单极型的。各类当中又有许

相关文档