文档库 最新最全的文档下载
当前位置:文档库 › 数字系统设计

数字系统设计

数字系统设计
数字系统设计

数字系统设计实验报告

姓名:赵晨晨

班级:13电气(2)

学号:138320251

日期:2016年5月11日

实验课程:数字系统设计综合实验教程

实验二:加法器设计

实验目的:(1)复习加法器的分类及工作原理。

(2)掌握用图形法设计半加器的方法。

(3)掌握用元件例化法设计全加器的方法。

(4)掌握用元件例化法设计多位加法器的方法。

(5)掌握用Verilog HDL语言设计多位加法器的方法。

(6)学习运用波形仿真验证程序的正确性。

(7)学习定时分析工具的使用方法。

实验原理:加法器是能够实现二进制加法运算的电路,是构成计算机中算术运算电路的基本单元。加法器可分为1位加法器和多位加法器两大类。还可分为全加器和半加器。

实验内容及步骤:(1)用图形法设计半加器,仿真设计结果。

(2)用元件例化的方法设计全加器,仿真设计

结果。

(3)用元件例化的方法设计一个4位二进制加

法器,仿真设计结果,进行定时分析。

(4)用Verilog HDL语言设计一个4位二进

制加法器,仿真设计结果,进行定时分析。

(5)分别下载用上述两种方法设计的4位加

法器,并进行在线测试。设计原理图:(1)用图形法设计的半加器如下图

半加器原理图

半加器符号

(2)用元件例化方法设计全加器如下图所示。

全加器原理图

全加器符号

(3)用元件例化的方法设计的4位串行进位加法器如下图所示

4位串行进位全加器原理图

(4)用Verilog HDL语言设计的4位加法器程序FA-4.v如下 module FA_4(A,B,CI,S,C);

input[3:0]A,B;input CI

output[3:0]S;output C

assign{C,S}=A+B+CI;

endmodule

(5)4位加法器功能仿真波形如图所示:

(6)实验总结:

1.做该实验时,之前要先建一个新的文件夹,不能将设计的项目文件存放于根目录中。并且做每个设计时都要新建一个工程,还要把三个实验设计项目的所有文件都存放在同一个新建文件夹中。

2.引用半加器图元时在原理图界面双击空白处引用即可;4位加法器仿真进行分组时要注意从高位到低位的顺序来分组。

3.设计4位加法器时,波形仿真采用十进制,其他进制也符合加法器设计原理,都可实现结果。

4.这次实验目的很明确,就是加法器的实现,我们要先从最简单的全加器入手,在把4个全加器串成4位串行加法器。就

这样一步一步的往下做,功能一点一点的添加,不仅熟悉了软件的使用,最重要的还是对加法器的理解。

5.通过这次实验,我不仅学会了加法器的设计工程,更重要的是-我懂得了团队合作的重要性,不仅学会了怎样使用软件,更学会了如何与他人交流,真可谓是受益匪浅。

数字系统设计试卷2012A卷

中国矿业大学2012~2013学年第一学期 《数字系统设计基础》试卷(A)卷 考试时间:100 分钟考试方式:闭卷 学院_________班级_____________姓名___________学号____________ 一、选择题(20分,每题2分) 1.不完整的IF语句,其综合结果可实现:_________ A. 三态控制电路 B. 条件相或的逻辑电路 C. 双向控制电路 D. 时序逻辑电路 2.关于进程语句说法错误的是_________ A. PROCESS为一无限循环语句(执行状态、等待状态) B. PROCESS中的顺序语句具有明显的顺序/并行运行双重性 C. 进程必须由敏感信号的变化来启动 D. 变量是多个进程间的通信线 3、对于VHDL以下几种说法错误的是___________ A. VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义 元件的引脚 B. 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成 C. VHDL程序中是区分大小写的 D.结构体描述元件内部结构和逻辑功能 4.一个设计实体(电路模块)包括实体与结构体两部分,实体描述___________。 A. 实体与结构体之间的连接关系; B. 器件的内部功能; C. 实体使用的库文件; D. 器件外部可见特性如端口的数目、方向等 5. 组合逻辑电路中的毛刺信号是由于______引起的。 A. 电路中存在延迟 B.电路不是最简 C. 电路有多个输出 D.电路中使用不同的门电路 6. 下列关于临界路径说法正确的是___________ A. 临界路径与系统的工作速度无关 B. 临界路径减小有助于缩小电路规模 C. 临界路径减小有助于降低功耗 D. 临界路径是从系统输入到输出的各条路径中信号通过时间最长的那条路径 7. 关于FPGA和CPLD的区别说法正确的是___________ A. CPLD 更适合完成各种算法和组合逻辑,FPGA 更适合于完成时序逻辑

数字系统与逻辑设计课程设计课程

一、课程简介 《数字系统与逻辑设计课程设计》课程是信息网络类专业的一门专业基础课,是锻炼学生动手能力,巩固所学理论知识的重要实践性环节。通过本课程设计,要求学生能够针对需要解决的实际问题进行分析和设计,利用所学的理论知识,熟练地使用门电路、触发器、计数器、译码器、振荡及整形电路等,正确地设计出数字电子系统,以满足实际问题的需要。通过课程设计的全过程,加深学生对所学理论知识的理解,培养学生实际动手能力和排除故障的能力。训练学生的数字电子电路设计能力、应用数字电路元器件及集成芯片的能力和数字电路的调测能力,提高学生的数字小系统设计能力。 二、课程的主要作用及目的 使学生接受与“数字电子技术”相关的工程实践训练,培养学生综合运用数字电子技术知识独立分析以及解决实际问题的实践能力;促使学生将所学数字电路的基础理论知识融会贯通,初步掌握数字系统的分析思路和设计方法。 三、主要参考书 《电子技术基础》(数字部分第五版)康华光高等教育出版社 《数字系统设计与VerliogHDL 》,王金明,杨吉斌,电子工业出版社 《中外集成电路简明速查手册-TTL、CMOS》电子工程手册编委会等编,电子工业出版社 四、教学大纲 (一)课程设计的任务与目的 《数字系统与逻辑设计》课程设计是配合本课程课堂和实验教学的一个实践性教学环节。其目的是巩固所学知识,提高实验动手能力,加强综合应用能力,启发创新思维。其任务是让学生通过动手动脑进行大中型数字逻辑电路的设计、仿真、调试,巩固和应用所学的理论和实验技能;掌握应用EDA开发工具设计大中型数字电路系统的设计流程、仿真、检测技术直至下载到物理器件进行实际物理测试的能力;提高设计能力和实验技能,为以后进行毕业设计、电子电路的综合设计、研制电子产品等打下基础。 (二)本课程设计的要求 1、本课程设计是在学完本课程之后进行,时间为两周; 2、设计任务包括数字电路的大部分内容的实验课题,具有较大的综合性、难度和一定的趣味性; 3、设计内容包括必做部分和选做部分,在完成必做部分后,才能进行选做内容; 4、要求学生初步掌握EDA软件工具的使用方法,能采用从上到下的设计思 路进行大中型数字电路系统的设计工作;

verilog数字系统设计教程习题答案

verilog 数字系统设计教程习题答案第二章 HDL 既是一种行为描述语言,也是一种结构描述语言。如果按照一定的规则和风格编写代码,就可以将功能行为模块通过工具自动转化为门级互联的结构模块。这意味着利用Verilog 语言所提供的功能,就可以构造一个模块间的清晰结构来描述复杂的大型设计,并对所需的逻辑电路进行严格的设计。 2.模块的基本结构由关键词module和endmodule构成。 3.一个复杂电路系统的完整Verilog HDL 模型是由若干个Verilog HDL模块构成的,每一个模块又可以由若干个子模块构成。其中有些模块需要综合成具体电路,而有些模块只是与用户所设计的模块交互的现存电路或激励信号源。利用Verilog HDL语言结构所提供的这种功能就可以构造一个模块间的清晰层次结构来描述极其复杂的大型设计,并对所作设计的逻辑电路进行严格的验证。 HDL和VHDL乍为描述硬件电路设计的语言,其共同的特点在于:能形式化地抽象表示电路的结构和行为、支持逻辑设计中层次与领域的描述、可借用高级语言的精巧结构来简化电路的描述、具有电路仿真与验证机制以保证设计的正确性、支持电路描述由高层到低层的综合转换、硬件描述与实现工艺无关(有关工艺参数可通过语言提供的属性包括进去)、便于文档管理、易于理解和设计重用。 5.不是

6.将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 7.综合工具可以把HDL变成门级网表。这方面Synopsys工具占有较大的优势,它的Design Compile 是作为一个综合的工业标准,它还有另外一个产品叫Behavior Compiler ,可以提供更高级的综合。 另外最近美国又出了一个软件叫Ambit ,据说比Synopsys 的软件更有效,可以综合50万门的电路,速度更快。今年初Ambit 被Cadence 公司收购,为此Cade nee放弃了它原来的综合软件Syn ergy。随着FPGA 设计的规模越来越大,各EDA公司又开发了用于FPGA设计的综合软件,比较有名的有:Sy nopsys 的FPGAExpress,Cade nee 的Syn plity ,Mentor的Leonardo,这三家的FPGA综合软件占了市场的绝大部分。 8.整个综合过程就是将设计者在EDA平台上编辑输入的HDL文本、原理图或状态图形描述,依据给定的硬件结构组件和约束控制条件 进行编译、优化、转换和综合,最终获得门级电路甚至更底层的电路描述网表文件。用于适配,适配将由综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件,如JEDEC Jam格式的 文件 9.在FPGA设计中,仿真一般分为功能仿真(前仿真)和时序仿真(后仿真)。功能仿真又叫逻辑仿真,是指在不考虑器件延时和布线延时的理想情况下对源代码进行逻辑功能的验证;而时序仿真是在布局布线后进行,它与

《数字系统计I》

《数字系统设计I 》 补 充 作 业 第1章逻辑函数 题1.1 完成下列数制或代码转换 (1) (172)10=(?)2 (2) (0.8123)10=(?)2 (3) (10101101.0101)2=(?)10 (4) (3625)10=(?)8=(?)16 (5) (0.172)8=(?)16=(?)2 (6) (4CA)16=(?)2=(?)10 题1.2 完成下列数制和代码之间的转换 (1)(468.32)10=(?)8421BCD =(?)余3码 (2)(10010011.1001)8421BCD =(?)2 题1.3 求下列函数的对偶式和反函数式 (1) D C B A D C A B Z +++++=)((1 (2) C B AD D C B A Z +++=2 题1.4 试证明下列“异或”等式成立 (1) C B A C B A C B A ⊕⊕=⊕⊕=⊕⊕ (2) B A AB B A =Θ⊕)()( 题1.5 用代数法将下列函数化简成为最简表达式 (1) B A B A B A B A ++++ (2) ))()((E DE D C C B B C B A ++++++ (3) EF B EF B A BD C A AB D A AD ++++++ (4) D BD C A C BD B A D B A +++++)()( (5) F E D C B A E D C B A DE B A CE B A E D AB E B A +++++

(6) D C A D B C B A D ABC ++++++))(( (7) F DE C AB D E A ACD E C D C B B A D +++++++))(( (8) ))()()()((D C B C B D B A B A D C B A +++++++++ 题1.6 用卡诺图法将下列函数化简成为最简表达式 (1) AB B A B A B A Y ++=),( (2) C B A C B A C B A Y +++=),,( (3) C AB C B CD ABC D A D A D C B A Y ++++++=)()(),,,( (4) D AC D A D C A D B D C D B A D C B A Y ++++=)(),,,( (5) )15,14,11,10,8,7,6,5,2,0(),,,(m D C B A Y ∑= (6) (1,3,4,6,7,9,11,12,14,15)Y M =∏ (7) C B C B C A C A D C B A Y +++=),,,( (8) D C A C B A D C D C A ABD ABC D C B A Y +++++=),,,( (9) )14,11,10,9,8,6,4,3,2,1,0(),,,(m D C B A Y ∑= (10) D BD C A C BD B A D B A D C B A Y +++++=)()(),,,( 题1.7 用卡诺图法将下列具有约束条件的逻辑函数化简成为最简“与- 或”表达式 (1) )15,14,13,12,11,10()9,7,6,5,1(),,,(d m D C B A Z ∑+∑= (2) )11,4()6,5,2,1,0(),,,(d m D C B A Z ∑+∑= (3) )14,11,10,8,3()12,6,5,4,2,1,0(),,,(d m D C B A Z ∑+∑= (4) ,),,,(D C B A D BC A D C B D C B A Z ++=约束条件为0C D ⊕= 题1.8 已知逻辑函数X 和Y : D C B D C A D C C AB D C B A X +++=),,,( ))()((),,,(D C A D C B D C B A D C B A Y +++++++= 用卡诺图法求函数XY Z =的最简“与-或”表达式。

数字系统设计技术课程设计报告

深圳职业技术学院Shenzhen Polytechnic 数字系统设计技术 课程设计 课题名称:电子售货机 学院:电子与通信工程学院班级: 组员: 学号: 指导老师:

目录 一、设计方案 (1) 1、功能简介 (1) 2、开发测试环境选择 (1) 二、售货机EDA程序设计 (1) 1、定义端口与信号说明 (1) 1.1输入端口 (1) 1.2输出端口 (1) 1.3信号 (2) 2、状态模块说明 (2) 2.1状态转换图 (2) 2.2购买1元商品模块 (2) 2.2购买5元商品模块 (3) 2.3购买7元商品模块 (4) 2.4顶层电路结构 (4) 三、小结 (5) 四、附录 (5) 1、使用说明 (5) 2、参考文献资料 (5) 3、附表 (6)

一、设计方案 1、功能简介 本文采用状态机的方法所设计的简易电子售货机可出售1元、5元、7元的商品,可接受1元、5元、10元的投币,可显示选择商品的价格,可找回购买后的余额。若投币金额充足则自动给出对应的商品和自动找零,且在投币购买过程中若投币余额不足会发出警告。在商品购买、找零完毕后,售货机会回到初始状态,顾客可进行下次购买。另设有初始化按钮,按下之后售货机回到初始状态。 2、开发测试环境选择 开发和仿真软件选择了Altera公司的综合性PLD/FPGA开发软件Quartus II 8.0,首先在Quartus II 8.0下进行程序设计,编译通过后用Quartus II 8.0进行功能仿真并检查对应的功能。 二、售货机EDA程序设计 1、定义端口与信号说明 1.1输入端口 reset:异步复位端口,低电平有效;clk:时钟信号端口,控制状态的转换;item1:1元商品选择端口;item5:5元商品选择端口;item7:7元商品选择端口;ok:商品选择确认端口;coin1:1元投币信号端口;coin5:5元投币信号端口;coin10:10元投币信号端口。 1.2输出端口 zhaoqian:退钱信号端口;jiage:对应商品价格显示端口;get1:1元商品输出端口:

《verilog_数字系统设计课程》(第二版)思考题答案

Verilog数字系统设计教程思考题答案 绪论 1.什么是信号处理电路?它通常由哪两大部分组成? 信号处理电路是进行一些复杂的数字运算和数据处理,并且又有实时响应要求的电路。它通常有高速数据通道接口和高速算法电路两大部分组成。 2.为什么要设计专用的信号处理电路? 因为有的数字信号处理对时间的要求非常苛刻,以至于用高速的通用处理器也无法在规定的时间内完成必要的运算。通用微处理器芯片是为一般目的而设计的,运算的步骤必须通过程序编译后生成的机器码指令加载到存储器中,然后在微处理器芯片控制下,按时钟的节拍,逐条取出指令分析指令和执行指令,直到程序的结束。微处理器芯片中的内部总线和运算部件也是为通用目的而设计,即使是专为信号处理而设计的通用微处理器,因为它的通用性也不可能为某一特殊的算法来设计一系列的专用的运算电路而且其内部总线的宽度也不能随便的改变,只有通过改变程序,才能实现这个特殊的算法,因而其算法速度也受到限制所以要设计专用的信号处理电路。 3.什么是实时处理系统? 实时处理系统是具有实时响应的处理系统。 4.为什么要用硬件描述语言来设计复杂的算法逻辑电路? 因为现代复杂数字逻辑系统的设计都是借助于EDA工具完成的,无论电路系统的仿真和综合都需要掌握硬件描述语言。 5.能不能完全用C语言来代替硬件描述语言进行算法逻辑电路的设计? 不能,因为基础算法的描述和验证通常用C语言来做。如果要设计一个专用的电路来进行这种对速度有要求的实时数据处理,除了以上C语言外,还须编写硬件描述语言程序进行仿真以便从电路结构上保证算法能在规定的时间内完成,并能通过与前端和后端的设备接口正确无误地交换数据。 6.为什么在算法逻辑电路的设计中需要用C语言和硬件描述语言配合使用来提 高设计效率? 首先C语言很灵活,查错功能强,还可以通过PLI编写自己的系统任务,并直接与硬件仿真器结合使用。C语言是目前世界上应用最为广泛的一种编程语言,因而C程序的设计环境比Verilog HDL更完整,此外,C语言有可靠地编译环境,语法完备,缺陷缺少,应用于许多的领域。比较起来,Verilog语言只是针对硬件描述的,在别处使用并不方便。而用Verilog的仿真,综合,查错等大部分软件都是商业软件,与C语言相比缺乏长期大量的使用,可靠性较差,亦有很多缺陷。所以只有在C语言的配合使用下,Verilog才能更好地发挥作用。C 语言与Verilog HDL语言相辅相成,互相配合使用。这就是即利用C语言的完整性又要结合Verilog对硬件描述的精确性,来更快更好地设计出符合性能要求的

数字系统设计

第一次作业 EDA 的英文全称是什么EDA 的中文含义是什么 答:ED自动化A 即Electronic Design Automation 的缩写,直译为:电子设计。 什么叫 EDA 技术利用 EDA 技术进行电子系统的设计有什么特点 答:EDA 技术有狭义和广义之分,狭义EDA 技术就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术,或称为IES/ASIC 自动设计技术。 ①用软件的方式设计硬件;②用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;③设计过程中可用有关软件进行各种仿真;④系统可现场编程,在线升级;⑤整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。 从使用的角度来讲,EDA 技术主要包括几个方面的内容这几个方面在整个电子系统的设计中分别起什么作用 答:EDA 技术的学习主要应掌握四个方面的内容:①大规模可编程逻辑器件;②硬件描述语言;③软件开发工具;④实验开发系统。其中,硬件描述语言是重点。对于大规模可编程逻辑器件,主要是了解其分类、基本结构、工作原理、各厂家产品的系列、性能指标以及如何选用,而对于各个产品的具体结构不必研究过细。对于硬件描述语言,除了掌握基本语法规定外,更重要的是要理解VHDL 的三个“精髓”:软件的强数据类型与硬件电路的惟一性、硬件行为的并行性决定了VHDL 语言的并行性、软件仿真的顺序性与实际硬件行为的并行性;要掌握系统的分析与建模方法,能够将各种基本语法规定熟练地运用于自己的设计中。对于软件开发工具,应熟练掌握从源程序的编辑、逻辑综合、逻辑适配以及各种仿真、硬件验证各步骤的使用。对于实验开发系统,主要能够根据自己所拥有

数字系统设计与verilog HDL课程设计

数字系统设计与verilog HDL课程设计 设计题目:实用多功能数字钟 专业:电子信息科学与技术 班级:0313410 学号:031341025 姓名:杨存智 指导老师:黄双林

摘要 本课程设计利用QuartusII软件Verilog VHDL语言的基本运用设计一个多功能数字钟,经分析采用模块化设计方法,分别是顶层模块、alarm、alarm_time、counter_time、clk50mto1、led、switch、bitel、adder、sound_ddd、sound_ddd_du模块,再进行试验设计和软件仿真调试,分别实现时分秒计时、闹钟闹铃、时分秒手动校时、时分秒清零,时间保持和整点报时等多种基本功能。 单个模块调试达到预期目标,再将整体模块进行试验设计和软件仿真调试,已完全达到分块模式设计功能,并达到设计目标要求。 关键字:多功能数字钟、Verilog、模块、调试、仿真、功能

目录 1.课程设计的目的及任务............................................................. 错误!未定义书签。 1.1 课程设计的目的 (3) 1.2 课程设计的任务与要求 (4) 2.课程设计思路及其原理 (4) 3.QuartusII软件的应用 (5) 3.1工程建立及存盘 (5) 3.2工程项目的编译 (5) 3.3时序仿真 (6) 4.分模块设计、调试、仿真与结果分析 (7) 4.1 clk50mto1时钟分频模块 (7) 4.2 adder加法器模块 (7) 4.3 hexcounter16 进制计数器模块 (7) 4.4 counter_time 计时模块 (8) 4.5 alarm闹铃模块 (8) 4.6 sound_ddd嘀嘀嘀闹铃声模块 (9) 4.7 sound_ddd_du嘀嘀嘀—嘟声音模块 (9) 4.8 alarm_time闹钟时间设定模块 (10) 4.9 bitsel将输出解码成时分秒选择模块 (10) 4.10 switch去抖模块 (11) 4.11 led译码显示模块 (11) 4.12 clock顶层模块 (12) 5.实验总结 (13) 5.1调试中遇到的问题及解决的方法 (13) 5.2实验中积累的经验 (14) 5.3心得体会 (14) 6.参考文献 (14) 1.1 课程设计的目的 通过课程设计的锻炼,要求学生掌握V erilog HDL语言的一般设计方法,掌握VerilogHDL语言的基本运用,具备初步的独立设计能力,提高综合运用所学的理论知识独立分析和解决问题的能力,基于实践、源于实践,实践出真知,实践检验真理,培养学生的

数字系统设计大作业

2014 ~ 2015学年第1 学期 《数字系统设计》 大作业 题目:4×4阵列键盘键信号检测电路设计专业:电子信息工程 班级: 姓名: 指导教师: 电气工程学院 2015 年12月

摘要 人类文明已进入到高度发达的信息化社会。信息化社会的发展离不开电子信息产品开发技术、产品品质的提高和进步。电子信息产品随着科学技术的进步,其电子器件和设计方法更新换代的速度日新月异。实现这种进步的主要原因就是电子设计技术和电子制造技术的发展,其核心就是电子设计自动化(EDA,Electronic Design Automation)技术,EDA技术的发展和推广应用又极大地推动了电子信息产业的发展。为保证电子系统设计的速度和质量,适应“第一时间推出产品”的设计要求,EDA技术正逐渐成为不可缺少的一项先进技术和重要工具。目前,在国内电子技术教学和产业界的技术推广中已形成“EDA热”,完全可以说,掌握EDA技术是电子信息类专业学生、工程技术人员所必备的基本能力和技能。 本设计主要利用VHDL硬件描述语言在EDA平台xilinx.ise.7.1i上设计一个4×4阵列键盘扫描电路,将行扫描信号输入阵列键盘,读取列信号的值,输出按键编码,从而判断出按键按下的位置。并且使用Modelsim软件进行模拟仿真,下载到EDA实验箱进行硬件验证。 关键词:EDA VHDL语言 4×4阵列键盘扫描

目录 《数字系统设计》 (1) 数字系统设计.............................................................................. 错误!未定义书签。摘要 (2) 关键词:EDA VHDL语言 4×4阵列键盘扫描 (2) 1、实验目的 (4) 2、实验要求 (4) 3、实验原理 (4) 4、总体框图 (5) 4.1.1方案一 (5) 4.1.2方案二 (5) 4.2设计思路 (6) 5、功能模块介绍 (8) 5.1键盘消抖模块 (8) 5.2键盘模块 (8) 5.3VHDL部分程序 (8) 6、实验结果 (10) 6.1综合电路图 (10) 6.2时序仿真 (11)

数字系统课程设计报告

基于VHDL的交通灯控制器设计 作者:叶展(04008136) 杨运峰(04008137) 史泰龙(04008115)

目录 1.题目要求 (2) 2.方案设计 (2) (1)总体方案设计 (2) (2)单元模块设计 (5) (3)各单元模块的连接 (6) 3.仿真调试 (7) 4.设计总结 (9) (1)设计的小结和体会 (9) (2)对设计的进一步完善提出意见或建议 (9) 5.成员分工 (9) 6.参考文献 (10) 7.附录 (11)

一、题目要求 在两个相邻十字路口处各有四处交 通灯,标为A和B,每一处的要求如下: 每一处都有3个灯指示左转,直行和右转 车辆,并且灯也分红色和黄色绿色,并配 有时间显示,调研实际的运行情况并设计 出对应的电路。并且要完成以下附加功 能:第一,可以将系统根据时间来调整, 在白天某一路段比较繁忙对应的通行时 间较长,晚上因为另一路段繁忙则做适当 的调整;第二,如系统出现故障不能正常 显示,则黄灯全部闪烁以提醒车辆注意。 要求系统有一个系统时钟,按照24小时 计时,A处和B处早上7点到晚上8点, 南北方向绿灯通行时间为50秒,黄灯5 秒,左拐灯15秒,黄灯5秒,红灯40 秒。其余时间分别为60秒,5秒,20秒, 5秒,30秒。A处和B处的交通灯是联动 的,即A处驶往B处的车辆,在A处南北方向交通灯绿灯后20秒钟后B处的南北方向交通灯绿灯通行。 二、方案设计 (1)总体方案设计 我们小组成员展开讨论,结合本题目 的要求,并且参考了实际路灯的运行情况 和查阅了相关资料后,提出了一种切实可 行的路灯控制方案——即路灯八状态轮 换循环控制方案。 从单一方向上看,单个路口红绿灯转换顺序为:绿灯(50s)—黄灯(5s)—左拐灯(15s)—黄灯(5s)—红灯(40s)。(当此方向上路灯为红灯时,即40s的时间内,另一方向上的路灯要完成,绿灯(15s)—黄灯(5s)—左拐灯(15s)—黄灯(5s),的转换。) 当路况处于闲暇时间段的时候,路灯工作于另外一种时间机制。即,绿灯(60s)—黄灯(5s)—左拐灯(20s)—黄灯(5s)—红灯(30s)。(当此方向上路灯为红灯时,即30s的时间内,另一方向上的路灯要完成,绿灯(5s)—黄灯(5s)—

数字系统设计-参考模板

第一次作业 1.1 EDA 的英文全称是什么?EDA 的中文含义是什么? 答:ED自动化A 即 Electronic Design Automation 的缩写,直译为:电子设计。 1.2什么叫 EDA 技术?利用 EDA 技术进行电子系统的设计有什么特点? 答:EDA 技术有狭义和广义之分,狭义 EDA 技术就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术,或称为 IES/ASIC 自动设计技术。①用软件的方式设计硬件;②用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;③设计过程中可用有关软件进行各种仿真;④系统可现场编程,在线升级;⑤整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。 1.3从使用的角度来讲,EDA 技术主要包括几个方面的内容?这几个方面在整个电子系统的设计中分别起什么作用?

答:EDA 技术的学习主要应掌握四个方面的内容:①大规模可编程逻辑器件;②硬件描述语言;③软件开发工具;④实验开发系统。其中,硬件描述语言是重点。对于大规模可编程逻辑器件,主要是了解其分类、基本结构、工作原理、各厂家产品的系列、性能指标以及如何选用,而对于各个产品的具体结构不必研究过细。对于硬件描述语言,除了掌握基本语法规定外,更重要的是要理解 VHDL 的三个“精髓”:软件的强数据类型与硬件电路的惟一性、硬件行为的并行性决定了 VHDL 语言的并行性、软件仿真的顺序性与实际硬件行为的并行性;要掌握系统的分析与建模方法,能够将各种基本语法规定熟练地运用于自己的设计中。对于软件开发工具,应熟练掌握从源程序的编辑、逻辑综合、逻辑适配以及各种仿真、硬件验证各步骤的使用。对于实验开发系统,主要能够根据自己所拥有的设备,熟练地进行硬件验证或变通地进行硬件验证。 1.4 什么叫可编程逻辑器件(简称 PLD)? FPGA 和 CPLD 的中文含义分别是什么?国际上生产FPGA/CPLD 的主流公司,并且在国内占有较大市场份额的主要有哪几家?其产品系列有哪些?其可用逻辑门/等效门数大约在什么范围? 答:可编程逻辑器件(简称 PLD)是一种由用户编程以实现某种 逻辑功能的新型逻辑器件。 FPGA 和 CPLD 分别是现场可编程 门阵列和复杂可编程逻辑器件的简称。国际上生产 FPGA/CPLD 的主流公司,并且在国内占有市场份额较大的主要是Xilinx,Altera,Lattice 三家公司。Xilinx 公司的 FPGA 器件有 XC2000,XC3000,XC4000,XC4000E,XC4000XLA,XC5200 系列 等,可用门数为 1200~18 000;Altera 公司的 CPLD 器件有

数字图形创意设计、在线作业

数字图形创意设计 第一次作业(第一二章) 一. 单选. (共 9 小题,63 分) 1. 下列文件类型中,不属于点阵图格式的是()。 A .*. Jpg B .*. bmp C .*.ai D .*. tif 学生答案: C 正确答案: C 分数:7 得分:7 2. 查看绘图时,显示全部对象的快捷键是()。 A .F2 B .F9 C .F3 D .F4 学生答案: D 正确答案: D 分数:7 得分:7 3. 点阵图的分辩率常用单位为ppi,它是指每个()长度单位内所包含的像素数值。 A .毫米 B .英寸 C .厘米 D .米

学生答案: B 正确答案: B 分数:7 得分:7 4. 如果您打开的文件中正缺少某几种字体,CorelDRAW会()。 A .自动替换 B .出现对话框让您选择 C .空出字体 D .临时替换 学生答案: B 正确答案: B 分数:7 得分:7 5. 属性栏、泊坞窗、工具栏和工具箱在屏幕上可以随时打开、关闭、移动吗()。 A .可以 B .不可以 C .属性栏可以 D .工具栏可以 学生答案: A 正确答案: A 分数:7 得分:7 6. 以下关于页面背景说法正确的是()。 A .只能是位图 B .只能是纯色

C .可以嵌入文档 D .不能被打印 学生答案: C 正确答案: C 分数:7 得分:7 7. 将矢量图转换为位图后,其文件可能会()。 A .体积变大 B .体积变小 C .体积不变 D .可以再原样转为矢量图 学生答案: A 正确答案: A 分数:7 得分:7 8. 位图的最小单位是()。 A .1/2个像素 B .1/4个像素 C .1 个像素 D .1/8个像素 学生答案: C 正确答案: C 分数:7 得分:7 9. CorelDRAW可以生成的图像类型是()。

(完整版)数字电路课程设计--数字时钟

《数字时钟》技术报告 概要 数字钟是一个将“ 时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24 小时,显示满刻度为23 时59 分59 秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时” 、“分”、“秒” 的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555 震荡器,74LS90 及与非,异或等门集成芯片等。该电路具有计时和校时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 一、系统结构。 (1)功能。此数字钟能显示“时、分、秒”的功能,它的计时周期是24 小时,最大能显示23 时59 分59 秒,并能对时间进行调整和校对,相对于机械式的手表其更为准确。 2)系统框图

系统方框图 1 (3)系统组成。 1.秒发生器:由555 芯片和RC 组成的多谐振荡器,其555 上3 的输出频率由接入的电阻与电容决定。 2.校时模块:由74LS03 中的4 个与非门和相应的开关和电阻构成。 3.计数器:由74LS90 中的与非门、JK 触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90 与74LS08 相连接而得到秒、分、时的进分别进位。 4.译码器:选用BCD 锁存译码器4511,接受74LS90 来的信号,转换为7 段的二进制数。

5.显示模块:由7 段数码管来起到显示作用,通过接受CD4511 的信号。本次选用的是共阴型的CD4511 。 二、各部分电路原理。 1.秒发生器:555 电路内部(图2-1)由运放和RS 触发器共同组成,其工作原理由8处接VCC ,C1 处当 Uco=2/3Vcc>u11 时运放输出为1,同理C2 也一样。最终如图3 接口就输出矩形波,而形成的秒脉冲。 图 2-2 555 功能表 2.校时模块:校时模块主要由74LS03中的4个与非门构成(图2-3),由其功能图看得出只要有一个输入端由H 到L 或者从L 到H 都会使输出端发生高低变化。因此通过开关的拨动产生高低信号从而对时、分处的计数器起到调数作用。

《verilog_数字系统设计课程》(第二版)思考题答案

绪论 1.什么是信号处理电路?它通常由哪两大部分组成? 信号处理电路是进行一些复杂的数字运算和数据处理,并且又有实时响应要求的电路。它通常有高速数据通道接口和高速算法电路两大部分组成。 2.为什么要设计专用的信号处理电路? 因为有的数字信号处理对时间的要求非常苛刻,以至于用高速的通用处理器也无法在规定的时间内完成必要的运算。通用微处理器芯片是为一般目的而设计的,运算的步骤必须通过程序编译后生成的机器码指令加载到存储器中,然后在微处理器芯片控制下,按时钟的节拍,逐条取出指令分析指令和执行指令,直到程序的结束。微处理器芯片中的内部总线和运算部件也是为通用目的而设计,即使是专为信号处理而设计的通用微处理器,因为它的通用性也不可能为某一特殊的算法来设计一系列的专用的运算电路而且其内部总线的宽度也不能随便的改变,只有通过改变程序,才能实现这个特殊的算法,因而其算法速度也受到限制所以要设计专用的信号处理电路。 3.什么是实时处理系统? 实时处理系统是具有实时响应的处理系统。 4.为什么要用硬件描述语言来设计复杂的算法逻辑电路? 因为现代复杂数字逻辑系统的设计都是借助于EDA工具完成的,无论电路系统的仿真和综合都需要掌握硬件描述语言。 5.能不能完全用C语言来代替硬件描述语言进行算法逻辑电路的设计? 不能,因为基础算法的描述和验证通常用C语言来做。如果要设计一个专用的电路来进行这种对速度有要求的实时数据处理,除了以上C语言外,还须编写硬件描述语言程序进行仿真以便从电路结构上保证算法能在规定的时间内完成,并能通过与前端和后端的设备接口正确无误地交换数据。 6.为什么在算法逻辑电路的设计中需要用C语言和硬件描述语言配合使用来提高设计效率? 首先C语言很灵活,查错功能强,还可以通过PLI编写自己的系统任务,并直接与硬件仿真器结合使用。C语言是目前世界上应用最为广泛的一种编程语言,因而C程序的设计环境比Verilog HDL更完整,此外,C语言有可靠地编译环境,语法完备,缺陷缺少,应用于许多的领域。比较起来,Verilog语言只是针对硬件描述的,在别处使用并不方便。而用Verilog的仿真,综合,查错等大部分软件都是商业软件,与C语言相比缺乏长期大量的使用,可靠性较差,亦有很多缺陷。所以只有在C语言的配合使用下,Verilog才能更好地发挥作用。C 语言与Verilog HDL语言相辅相成,互相配合使用。这就是即利用C语言的完整性又要结合Verilog对硬件描述的精确性,来更快更好地设计出符合性能要求的

数字系统设计与VHDL课程大作业

数字系统设计与VHDL课程大作业 霓虹灯电路设计 学院:计算机科学与工程 班级:计算机科学与技术一班 学号:1305010126 姓名:唐艳香 组员:郑林升,袁博,唐艳香 实验内容: 1)使用平台上的8个七段数码管进行显示,即围绕平台上的8个数码管转圈; 2)要求同时显示的段数为1、2、3段可选; 3)可进行顺向、逆向显示(通过某一开关键进行选择); 4)按下复位键后,重新开始旋转; 5)多种点亮方式自选,如全亮等。 总体模块划分: 1)定义输入输出端; 2)由于只有一个主程序,所有模块都直接添加在里面。主程序中可看做复位,旋转方向,旋转速度,旋转块数的小程序结合; 3)建立波形图,进行仿真; 4)绑定引脚,下载程序进行测试; 5)修正小bug。 代码实现: library ieee; use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity water_lamp is generic(n:integer:=60000); port(clk_sys:in std_logic; order:in std_logic; k:in std_logic; q:in std_logic; num:in std_logic_vector(2 downto 0); L:out std_logic_vector(7 downto 0); LED:out std_logic_vector(7 downto 0)); end water_lamp; architecture one of water_lamp is signal cnt:integer range 0 to n; signal cnt1:integer range 0 to n; signal cnt2:integer range 0 to n; signal clk_new:std_logic; signal cnm:integer range 19 downto 0; signal cnm0:integer range 19 downto 0; signal clk_new1:std_logic; signal clk_new2:std_logic_vector(0 to 1):="00"; begin

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固与加深在课程教学中所学到的 知识与实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路 的设计与实验能力,为今后从事生产与科研工作打下一定的基础。为毕业设计与今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试与维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法就是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路 图。 1.总体方案的选择 设计电路的第一步就就是选择总体方案。所谓总体方案就是根据所 提出的任务、要求与性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求与技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求与条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性与优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分 析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求与已选定的总体方案的原理框图,确定对各单元电路 的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元 电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电 路结构、降低成本。

数字系统设计

东南大学自动化学院 《数字系统课程设计》 专业综合设计报告 姓名:_________________________ 学号: 专业:________________________ 实验室: 组别:______________________同组人员: 设计时间:年月日 评定成绩: _____________________ 审阅教师:

一.课程设计的目的与要求 二.原理设计 三.架构设计 四.方案实现与测试 五.分析与总结

专业综合设计的目的与要求(含设计指标) 主干道与乡村公路十字交叉路口在现代化的农村星罗棋布,为确保车辆安全、迅速地通过, 在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯禁止通行;绿灯允许通行;黄 灯亮则给行驶中的车辆有时间行驶到禁行线之外。 主干道和乡村公路都安装了传感器, 检测 车辆通行情况,用于主干道的优先权控制。 设计任务与要求 (1)当乡村公路无车时,始终保持乡村公路红灯亮,主干道绿灯亮。 (2)当乡村公路有车时,而主干道通车时间已经超过它的最短通车时间时,禁止主干道通 行,让乡村公路通行。主干道最短通车时间为 25s 。 (3)当乡村公路和主干道都有车时,按主干道通车 25s ,乡村公路通车 16s 交替进行。 ( 4)不论主干道情况如何,乡村公路通车最长时间为 16s 。 (5)在每次由绿灯亮变成红灯亮的转换过程中间,要亮 5s 时间的黄灯作为过渡。 (6)用开关代替传感器作为检测车辆是否到来的信号。用红、绿、黄三种颜色的发光二极 管作交通灯。 要求显示时间,倒计时。 . 原理设计(或基本原理) HDL 语言,用ONEHOTI 犬态机编码表示交通灯控制器的四个状态(状态 0010,状态三: 0100,状态四: 1000): 设置两个外部控制条件:重置( set );乡村干道是否有车( c —— c=1 表示无车; c=0 表示有 车) 设置一个内部控制条件: 时间是否计满 ( state —— state=0 表示计数完成; state=1 表示计 数没有完成) 本设计采用 Verilog : 0001,状态二: 主干道红灯,显示 5 秒;乡村干道黄灯,显示 5 秒——( 0001) 主干道红灯,显示 21 秒;乡村干道绿灯,显示 16 秒——( 0010) 主干道黄灯,显示 5 秒;乡村干道红灯,显示 5 秒——( 0100) 主干道绿灯,显示 25 秒;乡村干道红灯,显示 30 秒——( 1000)

2016秋季_数字系统设计作业_part_2_a

上海交通大学信息安全工程学院 数字系统设计作业 2016年秋季学期 说明:这一部分中的练习题,为要提交的作业题。 最晚2016年12月27日上传至课件ftp。 第二部分、Verilog 设计 2.1、设计一个V erilog模块,产生图1所示的波形。 图1、习题1波形图 要求: (1)时间单位为:10ns;时间精度为:1ns; (2)使用 initial 语句;模块名为: wavegen() 2.2、8:3编码器的真值表如表2所示,使用always-case 结构, 设计一个8:3编码器。并设计一个测试平台,对电路进行仿真。要求: (1)在模块设计中要求明确标明基数格式。; (2)设计模块名为: Encoder8x3(code, data) 测试平台的模块名为: tb_Encoder8x3() 表2、8:3编码器真值表 输入 data[7:0] 输出 code[2:0] 0000_0001 0 0000_0010 1 0000_0100 2 0000_1000 3 0001_0000 4 0010_0000 5 0100_0000 6 1000_0000 7

2.3、a)如图3所示,使用 bufif0和 bufif1设计一个二选一多路选择器,并给出测试激励模块,和仿真 测试结果。 要求: 设计模块名为: mux2x1(dout, sel, din) 测试平台的模块名为: tb_ mux2x1() b)根据多路选择器的原理,使用连续赋值语句设计一个4选一多路选择器,并给出仿真测试结果。要求: 设计模块名为: mux4x1(dout, sel, din) 测试平台的模块名为: tb_ mux4x1() 2.4、设计一个V erilog模块,描述如图5所示的电路原理图表示的电路。并设计一个测试平台,对电路进行仿真。 图5、习题5电路原理图 要求: (1)利用V erilog的基本门(门级原语),采用结构(Structural)描述方式设计;此时,模块名为:comb_str(Y, A, B, C, D) (2)利用连续幅值语句,采用数据流(Dataflow)方式设计;此时,模块名为: comb_dataflow(Y, A, B, C, D) (3)利用always 过程语句,采用行为和算法(Behvioral or algorithmic)方式设计;此时,模块名为:comb_behavior(Y, A, B, C, D) (4)利用用户定义原语(UDP),使用真值表描述方式设计;此时,模块名为: comb_prim(Y, A, B, C, D) (5)测试平台的模块名为:testbench_comb();注意,测试平台模块没有端口。 (6)对电路进行全面仿真,提供仿真波形以证明设计的正确性;并使用系统任务 $display 和 $monitor 监控仿真结果,在ModelSim 的Transcript Window中输出文本表示的仿真结果。

相关文档
相关文档 最新文档