文档库 最新最全的文档下载
当前位置:文档库 › 基于Step7和Wincc Flexible联合仿真教程

基于Step7和Wincc Flexible联合仿真教程

基于Step7和Wincc Flexible联合仿真教程
基于Step7和Wincc Flexible联合仿真教程

目录

0 项目要求: (2)

1 项目分析与规划: (2)

2 系统IO口分配: (2)

3 系统接线原理图: (2)

4 系统控制方式规划: (2)

5 系统硬件选择与组态 (3)

6 PLC程序设计 (19)

7 触摸屏通讯设置、画面设计与变量控制 (25)

8 项目仿真测试 (37)

9 现场联机调试 (42)

0 项目要求:

(1)有一台三相交流异步电动机,可以用操作站上的按钮控制,也可以用监控站的触摸屏控制;

(2)操作站安装有三个按钮SB1、SB2和SB3,其中按钮SB1可以实现电动机的点动控制,按钮SB2控制电动机的连续运行,按钮SB3用来控制电动机的停止。另外操作站还有一个绿色指示灯HL1,当电动机点动运行时,HL1以1Hz的频率闪烁;当电动机连续运行时,HL1常亮;电动机停止运行时,HL1熄灭。

(3)触摸屏上设置有三个按钮,分别显示“点动”、“连续”和“停止”,其作用和操作站按钮SB1、SB2和SB3作用一样。触摸屏上同时有图形和文字显示当前电动机的三种状态(点动运行、连续运行与电机停止)。

1 项目分析与规划:

在本项目中,需要使用按钮和触摸屏来控制PLC程序,进而控制电动机的运行与停止,而PLC同时要通知触摸屏显示电动机的工作状态,PLC还需要控制指示灯指示电机目前的工作状态,所以项目的控制结构如图2.1所示。

2.1 项目控制结构

2 系统IO口分配:

3 系统接线原理图:

(略)

4 系统控制方式规划:

(1)PLC控制程序规划

(2)触摸屏画面规划

1)只需要一幅画面

2)需要放置三个按钮对象,名称分别为“点动”、“连续”和“停止”

3)需要用图形来表示电动机的状态,可以采取用三个图形分别表示三种状态,也可以用一个图形不同颜色来表示三种状态,还可以用一个图形不同颜色/不同表现形式表示三种状态,在实例中选择一个图形不同颜色/不同表现形式表示。

4)需要用文本来显示电动机的状态,可以用文本的可见性来完成控制效果(电动机点动时,“点动运行”文本可见并闪烁;电动机连续运行时,“连续运行”文本可见;电动机停止时,“电机停止”文本可见)。

5)触摸屏需要传送给PLC的变量包括三个按钮,需要使用布尔变量来模拟一个按钮的动作(包括按钮按下和释放);触摸屏需要读取PLC的信息来显示电动机的状态,可以使用布尔变量,也可以使用整数变量(在实例中使用整数变量较为方便),并且图形显示和文本显示可以共用一个变量。

5 系统硬件选择与组态

在本项目中,选择PLC的类型为CPU314C-2DP,该CPU集成的输入输出点可以满足系统要求。触摸屏的型号为TP177B 6” color PN/DP。

(1)新建项目,起名并保存(最好不要包括中文)

双击桌面上的“SIMATIC Manager”图标,启动西门子PLC编程软件。

应用,请选择“取消”。

选择“取消”以后,将进入一个空的项目管理器(SIMATIC Manager)界面。如果以前已经有人使用过Step 7软件,选择“取消”以后将会显示上次打开后没有关闭的项目窗口,

你可以点击项目窗口右上角的关闭按钮来关闭它,也可以选择菜单栏中的“文件”→“关闭”

来关闭该项目。关闭了该项目以后,同样会进入空的项目管理器(SIMATIC Manager)界面。

在新的项目管理器(SIMATIC Manager)界面中选择“文件”→“新建”或点击“新建项目/库”图标,打开新建项目窗口,输入新项目的名称,注意在该窗口可以看到项目所存储的路径。

新项目的名称输入完成后,点击“确定”,将打开新项目的工作界面。此时的新项目工作界面里面只有一个项目名称,以及一个基本的MPI网络。

(2)插入SIMATIC 300站站点以及HMI站点等

在新项目的工作界面中,选中新项目,从菜单栏中选择“插入”→“站点”→“2 SIMATIC 300 站点”(或者在项目名称上直接点击右键,选择“插入新对象”,然后选择“SIMATIC 300站点”),将会在该项目中插入一个SIMA TIC 300站点。

用同样的方法插入一个HMI站点,系统会要求用户选择设备型号,使用的TP177B 6’color PN/DP触摸屏在“Panels”下“170”系列中可以找到。

选择确定以后,系统会自动调用WinCC flexible软件,并将HMI设备集成到项目中。如果项目中还有其它的设备,利用这样的方法,可以全部集成到项目中。

(3)设置SIMATIC 300站CPU型号,规划SIMATIC 300站硬件系统

将鼠标移到SIMATIC 300站点的图标上,双击,可以打开SIMATIC 300站点的配置窗口。

选择硬件图标并双击,将会在一个新窗口中打开SIMATIC 300硬件配置(HW Config)界面。

选择右边硬件选项中的SIMATIC 300前面的“+”号,可以展开SIMA TIC 300站点的可选项,继续选择其子项RACK-300,点击“+”号展开该子项,双击其中的Rail,为SIMATIC 300站点插入一个底板。

在该底板上有11个插槽位置,其中第一个插槽只能插入电源模块。如果使用西门子为SIMATIC硬件提供的专用电源模块,可以选中第一个插槽位置,然后选择SIMATIC选项中的PS-300子项,点击“+”号展开该子项,然后从中选择对应的电源模块并双击,将电源模块插入对应的底板插槽。如果不使用西门子为SIMATIC提供的电源模块,而是使用其它外置电源,请将该模块空置(*如果不知道自己使用的电源模块形式,请空置该插槽)。

底板上第二个插槽需要插入CPU模块,选中第二个插槽位置,然后选择SIMA TIC选项中的CPU-300子项,点击“+”号展开该子项,然后从中选择对应的CPU模块(*我们使用的CPU模块是CPU-314C-2DP,订货号为6ES7 314-6CG03-0AB0,版本号为V2.6)。

双击该模块,会弹出该模块的附加属性设置界面。由于CPU314C-2DP自带有一个PROFIBUS接口,将会打开接口属性设置对话框。

如果暂时不利用(或不知道是否利用)该PLC的PROFIBUS接口作为通讯应用,直接点击“确定”即可。将该窗口中的界面分割线进行拖动,可以使各种信息更加直观呈现。

新组态的SIMATIC 300站点对硬件自动分配地址,从图中可以看出,默认的输入为124-126(3通道,24点,对应输入为I124.0-I124.7,I125.0-I125.7,I126.0-I126.7),输出为124-125(2通道,16点,对应输出为Q124.0-Q124.7,Q125.0-Q125.7)。如果觉得不习惯,可以自行修改。

双击模块中的DI24/DO16行,打开该子模块的属性页,选择其中的“地址”标签,将“系统默认”前面的勾去掉,然后在上面的“开始”输入框中输入自己想要的通道名称(一般习惯从0开始)。

输入和输出都修改完毕后,点击“确定”。

可以看到,输入和输出的地址变成了0-2和0-1。CPU模块中的AI5/AO2子模块,计数子模块,定位子模块地址都是可以修改的。

在本项目中有可能需要输出指示灯HL1以1Hz的频率闪烁,所以需要SIMATIC 300站站点提供1Hz的时钟脉冲。为此,需要为SIMATIC 300站站点组态时钟存储器,方法是双击SIMATIC 300站站点的CPU314C-2DP行,打开CPU属性界面,然后选择“周期/时钟存储器”标签,将下面的时钟存储器勾选,存储器字节写入一个地址。

该地址自动选取内部继电器M为时钟存储器区,占用一个字节(8位),提供8种不同频率的时钟脉冲,其时钟频率如表所示。

本项目中时钟存储器的存储器字节选择200,以后的1Hz时钟存储器位即为M200.5。

基本的硬件组态完成后,选择菜单栏中的“站点”→“保存并编译”选项或直接点击“保存和编译”图标,将该硬件组态进行保存(如果弹出来报警对话框选项,直接选择“确定”忽略该报警)即可,然后关闭该窗口。

(4)系统网络规划与组态

在SIMATIC Manager中选中项目名称,可以看到MPI文字和图标。

双击MPI图标,打开“NetPro(网络组态)”窗口。

现在网络中有一个MPI网络总线,有两个设备,分别为SIMA TIC300和SIMATIC HMI 站,但是相互之间没有连接。首先将SIMATIC 300设备连接到MPI网络上。

在此界面上常用有两种方法:将鼠标按住红色方块直接拖动,连接到MPI站点;或者双击红色方块,然后选择MPI,然后点击确定即可。

MPI网络连接完成后,如下图所示。

双击DP模块下的紫色方块,打开PROFIBUS接口设置窗口。

选择新建,打开PROFIBUS子网设置窗口,然后在网络设置标签里面选择合适的通讯速率,连续选择两次确定。

完成之后,就将SIMATIC 300设备连接到了PROFIBUS子网上,如图所示。

SIMATIC HMI站和SIMA TIC 300设备之间需要连结进行控制,可以有很多种方法,最简单和方便的就是通过PROFIBUS子网连接在一起。为了实现这种连接,需要将SIMATIC HMI站的IF 1B接口设置为PROFIBUS子网设备(默认为MPI网络设备)。修改的方法是双击SIMA TIC HMI站的设备图标(最左面的蓝色设备块),在接下来的窗口中选择“确定”,即可打开SIMA TIC HMI站的硬件配置窗口。

双击其中的IF 1B MPI/DP设备(索引4),打开IF 1B MPI/DP设备属性。

将接口类型修改为PROFIBUS,并在其属性中选择其通讯的速率与前面的SIMATIC 300站点保持一致,否则会发生通讯错误。

然后选择确定,忽视接下来的警告窗口(其实是提醒该设备还有一个端口闲置,是以太网接口,暂时不用)。将回到SIMATIC HMI站的HW Config界面,编译以后存盘并关闭HW Config界面,这样,SIMA TIC HMI站的端口已经修改为PROFIBUS工作模式,原来的表示IF 1B端口MPI网络模式的红色方块已经变成了表示PROFIBUS网络模式的紫色方块。用鼠标按下该紫色方块并拖动到PROFIBUS总线上,实现网络组态。

保存并编译检查完成后,会出现一个警告。

忽略该报警信息,然后存盘关闭网络组态配置窗口。

6 PLC程序设计

(1)创建SIMATIC 300站符号表

(2)创建SIMATIC 300站中的块

双击SIMA TIC 300设备右面的“块”,可以看到属于该设备的各个块列表(开始该站只包括“系统数据”和主程序“OB1”)。

选择菜单里中的“插入”→“S7块”(或在右面的窗口空白处点击右键,选择插入新对象),分别插入组织块OB100(需要改名字),数据块DB1。

(3)为数据块定义变量

在本项目中,SIMATIC 300站站点中规划了数据块的目的是为了方便触摸屏和PLC之间进行信息交换,这种交换需要通过数据块中的变量来实现。通过分析和规划,已经知道触摸屏需要给PLC三个BOOL(布尔)型的变量,分别用来传递“点动”、“连续”和“停止”;而PLC也需要给触摸屏一个INT(整数)型的变量,用来通知触摸屏显示电机不同的状态(当该变量为0时,表示电动机处于停止状态;当该变量为1时,表示电动机处于点动运行

如何将step7变量自动导入到wincc

一、从WinCC里调用STEP 7 变量的前提条件 从WinCC里调用STEP 7 变量可以将建立变量的工作量减少一半,同时将建立变量的出错概率建设一半,从而减少了相应的排错工作,大大提高了工作效率。 从WinCC里调用STEP 7 变量的前提条件是,WinCC的项目文件必须是集成在STEP 7项目中的。 在安装所有Simatic 软件前,请查阅软件的安装注意事项,确定操作系统与软件的兼容性。该文档一般位于:CD\Documents\<语言版本>\InstallNotes.chm。 要使用WinCC与STEP 7的集成功能,WinCC和STEP 7必须安装在同一台计算机上,必须在安装WinCC之前安装STEP 7。STEP 7 与WinCC的版本必须一致。WinCC 与STEP 7的版本兼容行列表可以在西门子自动化与驱动集团的技术支持与服务网站上获得。 请STEP 7安装完毕后,进行WinCC安装。在WinCC安装过程中,请选择“自定义安装”,在communitation 下的所有选项都必须钩选。 二、在Simatic Manager里建立新的WinCC项目 在建立新的STEP 7项目后,可以在Simatic Manager里直接建立新的WinCC 项目。方法是在Simatic Manager里,右键点击项目名称,选择“Insert New Object\OS”。此时系统会在STEP 7 项目文件里建立一个WinCC项目文件你可以在OS站上点击右键,选择“Re name”来修改WinCC项目名称。 可以通过在名称上点击右键,选择“Open Object”打开并编辑这个WinCC项目 三、把现成的WinCC项目集成到STEP 7 项目中 按如下步骤把已有WinCC项目文件插入STEP 7项目文件 A. 在STEP 7项目文件中插入一个OS站,然后把它改名为已有的WinCC项目名称。 B. 在STEP 7项目里删除因仅插入OS站而产生的WinCC项目文件,其位置在STEP 7 项目文件夹里的wincproj文件夹下,例如: d:\siemens\STEP7\S7proj\STEP7_Integration\wincproj\Name of the OS C. 最后在项目复制器里用’Save as’把已有的WinCC项目文件存储到STEP 7项目路径下。项目复制器位于开始菜单项"Start > SIMATIC > WinCC > Tools"。 注意: 对于集成STEP 7项目里的WinCC项目,你也可以使用压缩工具(Packer)来归档WinCC项目。 四、把变量(符号表,共享DB,背景DB)从STEP 7传送到WinCC里 STEP项目文件里的符号表,共享DB里的变量可以一次性的传入继承的WinCC 项目文件。 具体步骤如下: A、为需要传输的变量打上“传输标志” 在需要传输的变量上点击右键,从关联菜单中选择“Special Object Properties \ Operator Control and Monitoring…”,打开Operator Control and Monitoring对话框,激活复选框“Operator Control and Monitoring”,并点击“OK”关闭对话框。此时该变量前将显示绿色小旗 如需对成批变量进行该操作,可以使用“Shift+鼠标左键”进行批选择,或

WinCC-STEP7仿真

WinCC-STEP7仿真 一、描述 通常我们做项目的过程中有PLC编程(STEP7平台),上位组态监控(WinCC),WinCC与PLC通过以太网方式通讯实现监控;项目编程工作完成后,为了安全起见,我们通常会通过仿真的方式测试程序,检查错误,本文介绍仿真建立的过程; 二、材料 WinCC v7.5,STEP7 v5.6,S7-PLCSIM V5.4+SP8 三、STEP7仿真步骤 在Step7中打开项目如图1所示,点击选项(Options)显示下拉窗口,选中“设置PG/PC接口”进入图2; 图1 选择PLCSIM.TCPIP.1 以太网方式通讯,点击确定; 返回Step7如图3所示,打开仿真器如图4所示; 在图4中可看到PLCSIM(TCP/IP)协议,对应PG/PC接口;

图2 图3 图4

PLC处于STOP模式见图4,如图5所示下载程序到仿真器(全部内容); 打开OB1如图7,点击在线如图8所示,且在状态栏可知PLC状态为STOP; 图5 图6

图7 图8

打开仿真器,将PLC状态调整为RUN-P如图9,至此STEP7仿真成功; 图9 图10

四、WinCC仿真步骤 打开WinCC项目如图10所示,点击“变量管理”->“SIMATIC”-> “TCP/IP”-> “系统参数” 在“系统参数-TCP/IP”->“逻辑设备名称”设置为PLCSIM.TCPIP.1 图11 在“变量管理”->“SIMATIC”-> “TCP/IP”-> “PLC1”中右击连接参数-TCPIP 如图12所示,在IP地址栏需要输入PLC的IP地址; 如何查看PLC的IP地址: 在STEP7中选择图13中hardware,进入图14,点击硬件CP443-1的属性即可查看PLC的IP地址; 注:WinCC修改变量管理中的通讯参数之后再重新启动WinCC

WINCC与STEP7的通信

WinCC与Step7-300通讯 步骤如下: 1、用一根普通网线连接计算机和以太网模块。 2、启动Step 7 ,点击“选项---->设置PC/PG接口”,将通讯接口设置为ISO的那个,指向你正在使用的网卡。 3、点击“PLC--->编辑以太网节点”,输入以太网模块上的MAC 地址,以及你需要分配的IP地址,子网掩码,最后点击“分配IP组态”,IP分配完成。 4、点击“选项---->设置PC/PG接口”,将通讯接口设置成TCP/IP。 5、在PLC硬件组态中,将以太网IP地址设置成你刚才分配的那个IP。 6、现在你可以正常通过以太网下载程序了。 如果mmc卡是空的或者卡里面的硬件配置程序跟现有硬件一致,可以用mac地址下载。否则,必须先清空mmc卡或者先用mpi下载 ?三菱PLC输出指示灯安装于各自的输出模块上,PLC输出指示灯用于指示PLC输出信号的状态。当输出指示灯不亮时,检查、确定故障原因。 ?当利用编程器检查,确认PLC输出已经为“1”,且更换模块后PLC输出可以正常输出时,如对应的指示灯还是不亮,在检查输出模块安装、连接正常的基础上,可以 确认故障是PLC输出模块或对应的输出点本身不良引起的。输出模块、输出点本身 不良可能的原因有: ? 1.采用汇点输出(无源)时,可能PLC输出接口电路损坏;

? 2.采用源输出(有源)时,因输出负载过重、短路引起了三菱PLC内部电源电压的降低、保护; ? 3.当故障发生在扩展单元时,可能是基本单元与扩展单元间的连接不良; ? 4.三菱PLC输出接口电路损坏等。测量三菱PLC输出电压、检查模块安装与连接,在确认正确后,应更换输出模块或进行输出模块的维修与处理。 本章通过一个实际例子讲述WinCC与S7-300 PLC之间通过Ethernet的通讯方法。下面列举了一些在通讯中常用的术语。 4.1 通讯术语 (1)通讯 通讯用于描述两个通讯伙伴之间的数据交换。 (2)通讯伙伴 通讯伙伴是指可以相互进行通讯的模块,也可是说它们互相交换数据。它们可以是PLC中的中央处理器板和通讯处理器,也可以是PC中的通讯处理器。(3)通讯驱动程序 在WinCC中通讯驱动程序也指通道。它是一个软件组织,可在自动化系统和WinCC中的变量管理器之间设置连接,以便能向WinCC变量提供过程值。在WinCC中有很多通讯驱动程序,可通过不同的总线系统连接不同的自动化系统。(4)通道单元 通道单元指的是一种网络或连接类型。每个使用的通道单元必须分配给相关的通讯处理器。一些通道单元需要附加系统的组态。 (5)连接 连接是两个通讯伙伴组态的逻辑分配,用于执行已定意的通讯服务。每个连接有两个端点,它们包含对通讯伙伴进行寻址所必需的信息,以及用于建立连接的附件属性。 一旦WinCC与自动化建立正确的物理连接,就需要WinCC的通讯驱动程序和相关的通道单元来建立或组态与自动化系统间的逻辑连接。每个通道单元下可有多个连接。 4.2 建立WinCC与PLC间通讯的步骤 ●创建WinCC站与自动化系统之间的物理连接。 ●在WinCC项目中添加适当的通道驱动程序。 ●在通道驱动程序适当的通道单元下建立与制订通讯伙伴的连接。 ●在连接下建立变量。 WinCC变量管理器管理运行时的WinCC变量。它的任务是从过程中取出请求的变量值。 4.3 创建一个S7-300 PLC的项目 要实现WinCC与PLC间的通讯,首先要在PLC创建一个项目。 ●打开SIMATIC Manager,在File菜单下单击“New Project Wizard”,创建一个 项目名为zx的SIMATIC 300 Station。如图4-1所示。

WINCC与STEP7模拟通信设置

WinCC与Step7-300通讯 4.1 通讯术语 (1)通讯 通讯用于描述两个通讯伙伴之间的数据交换。 (2)通讯伙伴 通讯伙伴是指可以相互进行通讯的模块,也可是说它们互相交换数据。它们可以是PLC中的中央处理器板和通讯处理器,也可以是PC中的通讯处理器。(3)通讯驱动程序 在WinCC中通讯驱动程序也指通道。它是一个软件组织,可在自动化系统和WinCC中的变量管理器之间设置连接,以便能向WinCC变量提供过程值。在WinCC中有很多通讯驱动程序,可通过不同的总线系统连接不同的自动化系统。(4)通道单元 通道单元指的是一种网络或连接类型。每个使用的通道单元必须分配给相关的通讯处理器。一些通道单元需要附加系统的组态。 (5)连接 连接是两个通讯伙伴组态的逻辑分配,用于执行已定意的通讯服务。每个连接有两个端点,它们包含对通讯伙伴进行寻址所必需的信息,以及用于建立连接的附件属性。 一旦WinCC与自动化建立正确的物理连接,就需要WinCC的通讯驱动程序和相关的通道单元来建立或组态与自动化系统间的逻辑连接。每个通道单元下可有多个连接。 4.2 建立WinCC与PLC间通讯的步骤 ●创建WinCC站与自动化系统之间的物理连接。 ●在WinCC项目中添加适当的通道驱动程序。 ●在通道驱动程序适当的通道单元下建立与制订通讯伙伴的连接。 ●在连接下建立变量。 WinCC变量管理器管理运行时的WinCC变量。它的任务是从过程中取出请求的变量值。 4.3 创建一个S7-300 PLC的项目 要实现WinCC与PLC间的通讯,首先要在PLC创建一个项目。 ●打开SIMATIC Manager,在File菜单下单击“New Project Wizard”,创建一个 项目名为zx的SIMATIC 300 Station。如图4-1所示。

STEP7_v5.4与Wincc_Flexible2008的集成与仿真

STEP 7 v5.4 与 WinCC Flexible 2008的集成与仿真 By Herowuking,2009-8-31 1. 软件环境 STEP7 v5.4 SP4 Wincc Flexible 2008 说明: 网上有人说先安装STEP7 后安装WinCC Flexible就可以 把Wincc Flexible集成到 STEP7里面去,但是我安装完 STEP7 5.4之后安装了Wincc Flexible 2008,虽然可以在STEP7里面插入HMI站点,插入之后却不能够在STEP7里面编辑这个Wincc Flexible项目。所以,我采用的是另外一种方法。 2. 项目集成 STEP1.新建STEP7项目,编写好符号表和代码之后保存; 符号表(编写好之后在WINCC FLEXIBLE中建立变量很轻松了) 我这里编了一个最简单的启停控制做演示

STEP2.新建一个WINCC FLEXIBLE 项目; 【项目】→ 【新建…】→这里我选择了TP270 10”→保存项目; 可以保存到和STEP7相同的目录下,也可以保存在别的地方。这里我放在了STEP7项目目录下新建的一个文件夹中。 执行项目集成到STEP7里面去 【项目】→【在STEP7中集成…】→选择STEP1中新建的项目; 这个时候你再用STEP7打开原来的项目发现下面多出了一个HMI 站点; 这个时候,如果同时开着Wincc Flexible 2008,双击“变量”可以自动打开变量编辑界面。但是如果这个时候Wincc Flexible 没有打开,就会一闪下面的画面,而没有任何其他反应。估计还是STEP7 v5.4SP4和Wincc Flexible 2008集成的不够好吧。 暂且不管这软件上的问题,下一步开始执行STEP7和Wincc Flexible 的连接,实现Step 7的PLCSIM 仿真和Flexible 的仿真通讯。 注意:Wincc Flexible 2008打了SP1以及HotFix5 for SP1的补丁之后,不存在上述问题了。

如何把一个已经存在的 WinCC 项目集成到一个 STEP 7 项目中

返回列表 WinCC --

关于“装载和调试程序”的信息 如何把一个已经存在的WinCC 项目集成到一个STEP 7 项目中? 多重项目“Multiproject”功能对大型网络化的项目有哪些优势? 数据块初始值与实际值的含义 为什么项目、程序块或硬件组态根本无法打开,或者打开时出现错误信息,或是显示“只读”? WinCC -- 通信组态和编程-- S7通信(无PROFIBUS) 如何把一个已经存在的WinCC 项目集成到一个STEP 7 项目中? WinCC V5.0中可用插槽PLC吗? 使用MPI (CP5611)建立的WinCC与S7 之间的在线连接有哪些要求? 使用WinCC通过TCP/IP 通信需要哪种许可证? WinCC 访问S7-200 可使用哪些选项? 如何通过调制解调器建立到S7 的链接? 如何将WinCC 链接到S7 PLCSIM? 通过TS 适配器做WinCC 与S7 控制器的通讯,需要做哪些设置? 若要通过CP343-1 与S7 通讯,WinCC 系统需要哪些硬件和软件? 通过CP5611、CP5613 或CP1613,使用WinCC 和SIMATIC NET 访问S7 CPU 时,需要进行哪些设置?如何把一个已经存在的WinCC 项目集成到一个STEP 7 项目中? 显示订货号 配置说明

为了把一个 WinCC 项目导入一个STEP 7 项目中,必须安装下面的WinCC 组件:?SIMATIC Device Drivers ?Object Manager ?AS-OS Engineering 这些组件存在于WinCC 安装光盘中(图.01)。并且,WinCC 和STEP 7 必须安装在同一台计算机上。可以使用已经存在的归档WinCC 项目的压缩工具(packer)来把WinCC 项目导入到STEP 7项目中。 图. 01 注意 关于在不同版本的WinCC 中安装附加组件(以"Smart Tools"为例)的更多信息可以在条目:10880206中找到。 说明

WINCC与STEP7地通信

WinCC与Step7-300通讯 本章通过一个实际例子讲述WinCC与S7-300 PLC之间通过Ethernet的通讯方法。下面列举了一些在通讯中常用的术语。 4.1 通讯术语 (1)通讯 通讯用于描述两个通讯伙伴之间的数据交换。 (2)通讯伙伴 通讯伙伴是指可以相互进行通讯的模块,也可是说它们互相交换数据。它们可以是PLC中的中央处理器板和通讯处理器,也可以是PC中的通讯处理器。(3)通讯驱动程序 在WinCC中通讯驱动程序也指通道。它是一个软件组织,可在自动化系统和WinCC中的变量管理器之间设置连接,以便能向WinCC变量提供过程值。在WinCC 中有很多通讯驱动程序,可通过不同的总线系统连接不同的自动化系统。(4)通道单元 通道单元指的是一种网络或连接类型。每个使用的通道单元必须分配给相关的通讯处理器。一些通道单元需要附加系统的组态。 (5)连接 连接是两个通讯伙伴组态的逻辑分配,用于执行已定意的通讯服务。每个连接有两个端点,它们包含对通讯伙伴进行寻址所必需的信息,以及用于建立连接的附件属性。 一旦WinCC与自动化建立正确的物理连接,就需要WinCC的通讯驱动程序和相关的通道单元来建立或组态与自动化系统间的逻辑连接。每个通道单元下可有多个连接。 4.2 建立WinCC与PLC间通讯的步骤 ●创建WinCC站与自动化系统之间的物理连接。 ●在WinCC项目中添加适当的通道驱动程序。 ●在通道驱动程序适当的通道单元下建立与制订通讯伙伴的连接。 ●在连接下建立变量。 WinCC变量管理器管理运行时的WinCC变量。它的任务是从过程中取出请求的变量值。 4.3 创建一个S7-300 PLC的项目 要实现WinCC与PLC间的通讯,首先要在PLC创建一个项目。 ●打开SIMATIC Manager,在File菜单下单击“New Project Wizard”,创建 一个项目名为zx的SIMATIC 300 Station。如图4-1所示。

在STEP里面创建WINCC项目完整版

在S T E P里面创建W I N C C项目集团标准化办公室:[VV986T-J682P28-JP266L8-68PNN]

一、从WinCC里调用STEP 7 变量的前提条件从WinCC里调用STEP 7 变量可以将建立变量的工作量减少一半,同时将建立变量的出错概率建设一半,从而减少了相应的排错工作,大大提高了工作效率。从WinCC里调用STEP 7 变量的前提条件是,WinCC的项目文件必须是集成在STEP 7项目中的。在安装所有Simatic 软件前,请查阅软件的安装注意事项,确定操作系统与软件的兼容性。该文档一般位于:CD\Documents\<语言版本>\。要使用WinCC与STEP 7的集成功能,WinCC 和STEP 7必须安装在同一台计算机上,必须在安装WinCC之前安装STEP 7。STEP 7 与WinCC的版本必须一致。WinCC与STEP 7的版本兼容行列表可以在西门子自动化与驱动集团的技术支持与服务网站上获得。请STEP 7安装完毕后,进行WinCC安装。在WinCC安装过程中,请选择“自定义安装”,在communitation 下的所有选项都必须钩选。二、在Simatic Manager里建立新的WinCC 项目在建立新的STEP 7项目后,可以在Simatic Manager里直接建立新的WinCC项目。方法是在Simatic Manager里,右键点击项目名称,选择“Insert New Object\OS”。此时系统会在STEP 7 项目文件里建立一个WinCC项目文件你可以在OS站上点击右键,选择“Re name”来修改WinCC项目名称。可以通过在名称上点击右键,选择“Open Object”打开并编辑这个WinCC项目 三、把现成的WinCC项目集成到STEP 7 项目中按如下步骤把已有WinCC项目文件插入STEP 7项目文件 A. 在STEP 7项目文件中插入一个OS站,然后把它改名为已有的WinCC项目名称。B. 在STEP 7项目里删除因仅插入OS站而产生的WinCC项目文件,其位置在STEP 7 项目文件夹里的wincproj文件夹下,例如:d:\siemens\STEP7\S7proj\STEP7_Integration\wincproj\Name of the OS C. 最后在项目复制器里用’Save as’把已有的WinCC项目文件存储到STEP 7项目路径下。项目复制器位于开始菜单项"Start > SIMATIC > WinCC > Tools"。注意:对于集成STEP 7项目里的WinCC项目,你也可以使用压缩工具(Packer)来归档WinCC项目。四、把变量(符号表,共享DB,背景DB)从STEP 7传送到WinCC里 STEP项目文件里的符号表,共享DB里的变量可以一次性的传入继承的WinCC项目文件。具体步骤如下: A、为需要传输的变量打上“传输标志” 在需要传输的变量上点击右键,从关联菜单中选择“Special Object Properties \ Operator Control and Monitoring…”,打开Operator Control and Monitoring对话框,激活复选框“Operator Control and Monitoring”,并点击“OK”关闭对话框。此时该变量前将显示绿色小旗如需对成批变量进行该操作,可以使用“Shift+鼠标左键”进行批选择,或者使用“Ctrl +鼠标左键”对成批选择的变量进行个别增减。为共享DB的变量做注释在需要传输的变量上点击右键,从关联菜单中选择“Object Properties”,打开Properties 对话框,在对话框第一行的Attribute 中输入 S7_m_c ,Value 为ture,并点击“OK”键退出。此时被选中变量前被用红色小旗标志. 为背景DB的变量做注释打开相应的FB,在需要传输的变量上点击右键,从关联菜单中选择“Object Properties”,打开Properties 对话框在Attribute页第一行输入 S7_m_c ,Value 为ture并点击“OK”键退出开启共享和背景DB的操作和监视功能 DB里的变量被标志后,必须启动DB的“Operater control and monitor”功能才能启动变量传输。 在simatic maneger 窗口中右击所需传送变量的DB,在弹出的菜单中选择 special object- >operetor control and monitoring,在接下来的对话框中将Operater control and monitor复选框钩上。选中该复选框后,“WinCC Attributes”页被显示,其中列举了所有被标准过的变量。 B、将被标志的变量传送到WinCC项目文件中在SIMATIC Manager的菜单中选中选项“Options\OS\Compling…”在弹出的对话框中直接点next 直到开始编译。编译完成后,弹出integration2对话框,选择第一项,并用“OK”键确认。编译完成后系统会提示编译是否成功,如果失败会弹出相应的记录文件。编译成功后,打开WinCC项目文件。可以看到,系统已经在变量管理器里自动生成了相应的WinCC变量。在WinCC里需要变量引用的位置进行变量选择,出现变量选择对话框后,选中对话框右上角的“STEP 7 Symbol Server”复选框。此时,对话框右侧变量选择列表中将显示“STEP 7 Symbol Server”列表。从改列表中可以显示STEP 7符号表和共享数据块里的所有变量

wincc调用PLC变量

从WinCC里调用STEP7的变量WinCC get the variables in the STEP7

摘要 从WinCC里调用STEP7中的变量,该变量可以是符号表,DB块,FB块参数变量等。 关键词 变量表,DB块,WinCC,STEP7,集成 Key Words Symbols, DB block, WinCC, STEP7, Integrated A&D Service & Support Page 2-20

目录 从WinCC里调用STEP7的变量 (1) 1.从WinCC里调用STEP 7 变量的前提条件 (4) 2.在Simatic Manager里建立新的WinCC项目 (5) 3.把现成的WinCC项目集成到STEP 7 项目中 (6) 4.把变量(符号表,共享DB,背景DB)从STEP 7传送到WinCC里 (7) 5.在WinCC里直接调用STEP 7符号表或DB块里的变量 (17) A&D Service & Support Page 3-20

该文档的软件环境: Windows XP Professional SP1 English WinCC V6.0 SP2 HF2 Europe STEP 7 V5.3 SP1 1.从WinCC里调用STEP 7 变量的前提条件 从WinCC里调用STEP 7 变量可以将建立变量的工作量减少一半,同时将建立变量的出错概率减少一半,从而减少了相应的排错工作,大大提高了工作效率。 从WinCC里调用STEP 7 变量的前提条件是,WinCC的项目文件必须是集成在STEP 7项目中的。 在安装所有Simatic 软件前,请查阅软件的安装注意事项,确定操作系统与软件的兼容性。该文档一般位于:CD\Documents\<语言版本>\InstallNotes.chm。 要使用WinCC与STEP 7的集成功能,WinCC和STEP 7必须安装在同一台计算机上,必须在安装WinCC之前安装STEP 7。STEP 7 与WinCC的版本必须兼容。WinCC与STEP 7的版本兼容性列表可以在西门子自动化与驱动集团的技术支持与服务网站上获得。 请STEP 7安装完毕后,进行WinCC安装。在WinCC安装过程中,请选择“自定义安装”,并且选中下图中红框标识的选件: 图 1. 与集成相关的WinCC组件 A&D Service & Support Page 4-20

基于Step7和WinccFlexible联合仿真教程

基于Step7和WinccFlexible联合仿真教程目录 0 项目要求:..................................................................... .............................................. 2 1 项目分析与规 划: .................................................................... .................................... 2 2 系统IO口分配:..................................................................... ..................................... 2 3 系统接线原理 图: .................................................................... .................................... 2 4 系统控制方式规划:..................................................................... .. (2) 5 系统硬件选择与组态...................................................................... . (3) 6 PLC程序设计...................................................................... ........................................ 19 7 触摸屏通讯设置、画面设计与变量控制....................................................................... 25 8 项目仿真测 试 .....................................................................

wincc与step7仿真通讯设置

wincc与step7仿真通讯设 置 liudaxian2000 |2018-06-30 | 2.1分(高于81.78%的文档)|4235|142 |简介|举报手机打开 共享文档 一、MPI网的仿真 1、PC/PG端口选择:STEP7选PLCSIM(MPI),WINCC选MPI (Wincc)――>PLCSIM(MPI)。 2、先在STEP7V5·4软件编好控制程序。 3、打开S7-PLCSIMV5·4软件,在出来的窗口中勾下面的那个选项, 点第二个选项,选择打开STEP7V5·4程序的项目。 4、下载程序并运行。 5、打开WINCC V6.0并新建项目,在变量管理添加新的驱动程序, 选····S7···的,然后在MPI新建连接,在MPI上点击右键――>系统参数――>单元――>在逻辑设备项选择“PLCSIM(MPI)”。 6、新建变量并编辑画面,然后保存运行。 二、TCP/IP网的仿真 1、PC/PG端口选择:STEP7选PLCSIM(RFC 1006),WINCC 选CP_I2_1:――>PLCSIM(RFC 1006)。

2、先在STEP7V5·4软件组态硬件(要有CP343-1并填入IP地址)并编好控制程序。 3、打开S7-PLCSIMV5·4软件,在出来的窗口中勾下面的那个选项,点第二个选项,选择打开STEP7V5·4程序的项目。 4、下载程序并运行。 5、打开WINCC V6.0并新建项目,在变量管理添加新的驱动程序,选····S7···的,然后在TCP/IP新建连接(记得填入STEP7组态时的IP 地址),更改插槽号为2。在TCP/IP上点击右键――>系统参数――>单元――>在逻辑设备项选择“CP_I2_1:”。 6、新建变量并编辑画面,然后保存运行。 三、PROFIBUS网的仿真 1、PG/PC接口选择:STEP7选择S7ONINE(STEP7)――>PLCSIM (PROFIBUS),WINCC选CP_I2_1:――>PLCSIM(PROFIBUS)。 2、WINCC中在PROFIBUS新建连接,然后进入PROFIBUS的系统参数――>单元――>逻辑设备名称选:PLCSIM(PROFIBUS)。 3、其它和MPI及TCP/IP的仿真一样。 *PLCSIM 版本5.4

wincc集成到step7中

1.从WinCC里调用STEP 7变量的前提条件 从WinCC里调用STEP 7 变量可以将建立变量的工作量减少一半,同时将建立变量的出错概率减少一半,从而减少了相应的排错工作,大大提高了工作效率。 从WinCC里调用STEP 7 变量的前提条件是,WinCC的项目文件必须是集成在STEP 7项目中的。 在安装所有Simatic 软件前,请查阅软件的安装注意事项,确定操作系统与软件的兼容性。该文档一般位于:CD\Documents\<语言版本>\InstallNotes.chm。 要使用WinCC与STEP 7的集成功能,WinCC和STEP 7必须安装在同一台计算机上,必须在安装WinCC之前安装STEP 7。STEP 7 与WinCC的版本必须兼容。WinCC 与STEP 7的版本兼容性列表可以在西门子自动化与驱动集团的技术支持与服务网站上获得。 请STEP 7安装完毕后,进行WinCC安装。在WinCC安装过程中,请选择“自定义安装”,并且选中下图中红框标识的选件: 图 1. 与集成相关的WinCC组件 2.在Simatic Manager里建立新的WinCC项目 在建立新的STEP 7项目后,可以在Simatic Manager里直接建立新的WinCC项目。方法是在Simatic Manager里,右键点击项目名称,选择“Insert New Object\OS”。此时系统会在STEP 7 项目文件里建立一个WinCC项目文件。

图 2. 插入OS站 你可以在OS站上点击右键,选择“Rename”来修改WinCC项目名称。 可以通过在名称上点击右键,选择“Open Object”打开并编辑这个WinCC项目。 图 3. 改名和打开WinCC项目 3.把现成的WinCC项目集成到STEP 7项目中 如果你在一台计算机上已经安装了兼容的WinCC和STEP 7,并且有了一个单独使用的WinCC项目,想把它集成到一个已有的STEP 7项目中去。

基于现场程序实现PLC S7与wincc联合仿真

基于SIMPLC实现PCS7-WINCC联合仿真 1、把备份PLC程序恢复归档,解压 2、桌面打开SIMTIC Manger,选择step7模式 3、打开网络组态,更改网络连接,把原有网络连接删掉,新建仿真用的网络连接,编译保 存。连接类型connection type选择S7-connection。然后选择主CPU CPU414-4H,确定便已保存。

4、打开硬件组态,打开网络连接设置,把IP protocol is be used 打上勾,激活mac 码,IP, 记住该mac码后几位:46-4E,以后网络连接用该MAC码对应选择。编译保存。 5、点开wincc os 主机,右键选择complie 编译,把PLC变量送入wincc生成wincc对用变 量。Complie—next—YJL—右键select network connection,选择mac码后几位:46-4E 的 工业以太网。

6、在SIMTIC Manger 编辑画面中选择 os wincc ,右键点击打开wincc ,选择computer 右键 属性,点击Use local computer name ,选择转换成使用本地计算机。确定,退出wincc ,重启wincc 进入下一项设置 7、打开 SIMATIC S7 PROTOCOL SUITE 协议组下面的industral Ethernet 工业以太网,点击系统参数, 选择连接端口 为仿真连接端口 PLCSIM(ISO)

8、打开仿真器S7-PLCSIM,访问CUP节点中,选择cpu414-4H下面的cp443-1 mac为46-4E的连接点。 9、以上是设置好PLC与wincc的通信连接设置,接着就要回到S7,点击选中项目YJJL 工作站,右键PLC download,或直接点击菜单栏下载图标,将PLC程序下载到存储器中。 完成以上步骤,仿真设置就完成了。点击wincc 蓝色三角形运行图标,激活运行wincc,大功告成。

STEP7和WinCC的安装方法

STEP7的安装方法 1、所有安装文件必须放在某磁盘根目录的某个文件夹中。安装文件夹的名称不能有中文字 符。否则,会出现“找不到SSF文件”的提示信息而无法继续安装。 2、STEP7 V5.5_CN(中文版)只能安装在32位操作系统中(WinXP 32位、Win7 32位)。安装 文件在[STEP7 V55_CN]文件夹中,该版本不包含PLCSIM软件,需要另外单独安装。可以安装PLCSIM V54SP5汉化版。安装文件在[S7-PLCSIM V54+SP5]文件夹中。 3、STEP7 V5.5SP1_HOME(英文家庭版)既可以安装在WinXP 32位操作系统上,也可以安装在 64位操作系统中(Win7 64位)上。安装文件名:[STEP7 V55SP1_Home_x32_x64.iso]。该版本包含PLCSIM软件,无需另外单独安装。该版本的PLCSIM可以汉化,方法:把[PLCSIM_v5.4 SP3汉化]文件夹中[s7wsvlrb.dll]文件复制到[C:\Program Files(X86)\simens\plcsim\s7wsi\]中覆盖原文件。 4、STEP7 V5.5SP2和SP3(中文版)既可以安装在WinXP 32位操作系统上,也可以安装在64 位操作系统中(Win7 64位)上。安装文件名:[STEP7 V55SP2CN.iso]和[STEP7 V55SP3.iso]。 这两个版本不包含PLCSIM软件,需要另外单独安装。可以安装PLCSIM V54SP5汉化版,安装文件夹名:[S7-PLCSIM V54SP5]。 5、安装后只有14天的试用期,需要安装密钥文件解锁。密钥文件放在[Simatic_EKB_Install] 文件夹中。可以选择最新的密钥文件Sim_EKB_Install_2015_03_29解密。安装STEP7时选择以后传送许可证密钥,见图1所示。密钥文件的安装方法见图2所示。 图1

WinCC安装教程

一、安装准备 1、安装Wincc7.0前请确认您的系统完整并且已经安装好了step7(西门子plc编程软件),然后将安装包解压至硬盘根目录,且目录中不能出现中文字符。 2、安装消息列队和网络服务(打开“控制面板——程序卸载——打开或关闭window功能”)在弹出的界面中勾选“internet信息服务”和“MSMQ服务器”然后点击“确定”退出。

3、安装此软件前请先关闭360卫士等等软件,以免安装错误。 二、Wincc7.0安装 1、打开安装包运行setup.exe,软件为中文界面,操作简单,小编指出一些需要选择的项目

2、安装类型,此处选择数据包安装,目标目录建议为默认,点击下一步 3、勾选第一项,滑动下滑框,找到AS-OS项,这是集成到step7的功能,点击下一步开始安装。软件很大,安装需要大约2个小时左右,请大家耐心等待。

三、Wincc7.0授权 1,安装完后,需要进入安装目录下\bin文件夹进行改名,如图:

复制---重命名----粘贴即可 将CCExpMsgChs.lng 改成“CCExpMsgdeu.lng” 将CCExpMsgCht.lng 改成“CCExpMsgita.lng” 将CCExpMsgJpn.lng改成“CCExpMsgesp.lng” 将CCExpMsgKor.lng改成“CCExpMsgfra.lng” 改完四个后,还有一个需要改。(从那改好的四个的文件名的下面找就找到了,改名方法同上) 将CCProjectMgrCht.lng 改成“CCProjectMgrdeu.lng” 2、五个文件修改完毕后,我们打开授权工具Sim_EKB_Install(默认中文,右上角修改), (1)把下图蓝色部分的前面打勾: (2)继续打勾:

集成:如何将一个WinCC Flexible项目集成到STEP7中

如何把一个WinCC Flexible项目集成到STEP7中? 描述:分以下两点进行介绍 在STEP 7 中集成一个 WinCC flexible 项目。 WinCC flexible 项目不能集成到STEP 7 中的几种可能的原因。 操作说明: 在STEP 7 中集成一个WinCC flexible 项目 编 号 1 按照如下步骤在 STEP 7 中集成一个 WinCC flexible 项目。 打开 WinCC flexible 组态。 选择命令菜单“项目>在 STEP 7 中集成...”。 打开“集成于 STEP 7 项目中”对话框。 在对话框中选择相关的 STEP 7 项目。 如果所需的项目没有被列出来,那么通过搜索域可以定位到 STEP 7 项目存储的文件夹。一旦选择了 STEP 7 项目就会执行集成操作。 Fig. 01

注意:执行该操作 STEP 7 项目无需打开。 2 参数化连接/通讯伙伴 在“独立”模式下必须手动设置控制器的所有连接参数。 在“集成”模式下可以通过选择菜单确定通讯伙伴的地址来定义站。地址会被自动传输。 此外,使用该方法后可以通过符号连接来访问变量。 打开之前已经集成了WinCC flexible 项目的 STEP 7 项目。 标记 HMI 站并且双击打开HMI站的“硬件组态”。 打开操作面板的接口“属性”界面,定义接口和地址。 Fig. 02 切换到 WinCC flexible 组态然后通过项目树的“通讯>连接”打开“连接”。 在“工作站”下选择相关的PLC站。 “伙伴站”和所有其它的参数将会自动传输。 WinCC flexible 项目集成完毕。 不能在STEP 7 中集成 WinCC flexible 项目的几种可能的错误原因。 如果 WinCC flexible 的集成功能为灰色或者不可用,可能是由于以下原因导致。 如果 WinCC flexible 先于 STEP 7 而被安装,那么必须安装 WinCC flexible 集成选项。 操作说明: 通过控制面板调用 WinCC flexible 安装程序并修改安装。 控制面板/添加删除程序/SIMATIC WinCC flexible 按钮:修改/删除 激活在 STEP 7 中集成。 “WinCC flexible 集成”和“STEP7”检查框必须选中。 (执行此操作需要 WinCC flexible 安装盘。)

如何将WinCC集成在STEP7中

如何将WinCC集成在STEP7中 (一、从WinCC里调用STEP 7 变量的前提条件 从WinCC里调用STEP 7 变量可以将建立变量的工作量减少一半,同时将建立变量的出错概率建设一半,从而减少了相应的排错工作,大大提高了工作效率。 从WinCC里调用STEP 7 变量的前提条件是,WinCC的项目文件必须是集成在STEP 7项目中的。在安装所有Simatic 软件前,请查阅软件的安装注意事项,确定操作系统与软件的兼容性。该文档一般位于:CD\Documents\<语言版本>\InstallNotes.chm。 要使用WinCC与STEP 7的集成功能,WinCC和STEP 7必须安装在同一台计算机上,必须在安装WinCC之前安装STEP 7。STEP 7 与WinCC的版本必须一致。WinCC与STEP 7的版本兼容行列表可以在西门子自动化与驱动集团的技术支持与服务网站上获得。 请STEP 7安装完毕后,进行WinCC安装。在WinCC安装过程中,请选择“自定义安装”,communitation 下的所有选项都必须钩选。

二、在Simatic Manager里建立新的WinCC项目 在建立新的STEP 7项目后,可以在Simatic Manager里直接建立新的WinCC 项目。方法是在Simatic Manager里,右键点击项目名称,选 择“Insert New Object\OS”。此时系统会在STEP 7 项目文件里建立一个WinCC 项目文件 你可以在OS站上点击右键,选择“Re name”来修改WinCC项目名称。 可以通过在名称上点击右键,选择“Open Object”打开并编辑这个WinCC项目 三、把现成的WinCC项目集成到STEP 7 项目中 按如下步骤把已有WinCC项目文件插入STEP 7项目文件 A. 在STEP 7项目文件中插入一个OS站,然后把它改名为已有的WinCC 项目名称。 B. 在STEP 7项目里删除因仅插入OS站而产生的WinCC项目文件,其位置在STEP 7 项目文件夹里的wincproj文件夹下,例如: d:\siemens\STEP7\S7proj\STEP7_Integration\wincproj\Name of the OS C. 最后在项目复制器里用’Save as’把已有的WinCC项目文件存储到STEP 7项目路径下。项目复制器位于开始菜单项 "Start > SIMATIC > WinCC > Tools"。 注意:对于集成STEP 7项目里的WinCC项目,你也可以使用压缩工具

相关文档
相关文档 最新文档