文档库 最新最全的文档下载
当前位置:文档库 › Calibre后仿操作步骤

Calibre后仿操作步骤

Calibre后仿操作步骤
Calibre后仿操作步骤

Calibre后仿

1. 在用户目录(/home/用户名)下的Simulation文件夹中,新建一个存放后仿真文件的文件夹,如对本例的inverter则后仿文件夹名可取为“postinv”。

2. 新建一个inverter的schematic cellview,如取为inverter_simu,并画好inverter的电路图和进行相应的电路仿真。仿真完成后,则会在simulation文件夹中生成一个相应的存放仿真结果的文件夹,且其文件夹名与schematic cellview name完全一样。该文件夹中保存了仿真生成的电路网表(在文件夹“…/simulation/inverter_simu/spectre/schematic/netlist”中)和仿真分析结果(在文件夹“…/simulation/inverter_simu/spectre/schematic/psf”中,里面存入了dc、ac和tran分析的所有静态工作点、所有节点电压和电流等信息)。

将文件夹“…/simulation/inverter_simu/spectre/schematic/netlist”中的网表文件“input.scs”(该文件为spectre仿真时所运行的网表文件,相当Hspice的“.sp”文件)和文件夹“…/simulation/inverter_simu/spectre/schematic/psf”中“runObjFile”文件(该文件为查看仿真分析结果文件“dc-dc”、“ac-ac”和“tran-tran”中的节点电压电流等信息时的控制文件,里面包含了节点控制信息)复制到后仿文件夹“postinv”中。

注意:这里的schematic cellview(如取名为inverter_simu_1)最好是先建成symbol,然后再新建一个仿真用的schematic cellview(如取名为inverter_simu_2)。在schematic cellview (inverter_simu_2)中调用inverter_simu_1的symbol来构造电路图进行仿真,用对inverter_simu_2进行电路仿真的过程中所生成的电路网表文件“input.scs”进行后仿真。不要直接对inverter_simu_1进行电路仿真和不要直接用inverter_simu_1仿真生成的“input.scs”进行后仿真,尤其是对于较大的电路(如有十几个或几十个晶体管的电路)。因为直接用inverter_simu_1仿真生成的“input.scs”进行后仿真时,schematci网表和PEX提取的版图网表的节点很难保持一致,具体的原因将会在后面的后仿过程中详细介绍。

3. 运行Caliber->Run PEX,提取包含寄生参数的版图网表

(1) 新建一个PEX用的inverter的layout和schematic cellview,并按Calibre LVS过程中画电路图和版图的方法,画好相应的inverter的版图和电路图。

(2) 运行Calibre->Run PEX,即弹出Calibre Interactive-PEX对话框,其中的Rules栏目和Inputs 栏目与Calibre LVS的填写完全一样(注意:运行PEX的控制文件完全与LVS的控制文件完全一样,也是“chrt035ee_lvs.ctl”文件,不要选择其它的文件,如“.cal”和“.pex”等文件)。

左击Outputs按钮,在Outputs对话框中的Extraction Type栏目中选择上“Transistor level”和“Lumped C + coupling caps”(因为EEPROM是数字工艺,寄生电容对数字电路功能影响不大,因而主要考虑的是寄生电容的影响,故规则文件中只规定了寄生电容的提取规则,不能提取寄生电阻)。

填写好各栏目后,左击“Run PEX”运行PEX。

(3) PEX运行成功后,即弹出一个提取出来的网表文件对话框。将这个PEX提取出来的版图网表文件保存到新建的用于后仿的文件夹“postinv”中,并对其命名,如取为“netlistinv”。

打开PEX提取出来的网表“netlistinv”,若该网表用INCLUDE命令包含了其它的文件,则应将这些文件也复制到后仿文件夹“postinv”中(注意:这些文件都保存在PEX输出文件夹中,如“ee035_lvsout”)。对PEX提取出来的网表“netlistinv”,还可注意到:PEX提取出来的电路网表均是以子电路的形式的来描述的。这一点也是先将schematic cellview (inverter_simu_1)建成symbol,然后再新建一个仿真用的schematic cellview(inverter_simu_2)调用已建好的symbol进行电路仿真的理由。

4. 对schematic进行电路仿真所生成的电路网表“input.scs”和PEX提取出来的版图网表“netlistinv”,将“input.scs”的电路描述部分用PEX提取出来的版图网表“netlistinv”中相应的电路描述部分替换。在网表替换过程中,应注意如下事项:

(1) 若PEX提取出来的版图网表“netlistinv”中包含其它文件,即该网表用INCLUDE命令包含了其它的文件,则应在PEX输出文件夹(如“ee035_lvsout”) 中将这些相应的文件也复制到后仿文件夹“postinv”中。

(2) 替换后的电路网表中的节点和端口名及顺序要完全一致,且节点和端口顺序要以对schematic进行电路仿真所生成的电路网表“input.scs”为准。

(3) 要特别注意的地方是:

(a) PEX提取出来的版图网表“netlistinv”是以spice语法来描述的。并且,若版图中的端口名的第一个字母若采用了数字,如“0”等,或在端口名的后面加了“!”号,如“vdd!”及“gnd!”,则提取出来的网表中的端口名会与所标的端口名不一样,所有的端口名前均被添加了“nonref_”。故一定要用全英文字符串来标注版图中的端口名。

(b) 用spice语法描述的电路在进行电路仿真时是不区分大小写的,即节点名“IN”与“in”是完全一样的。而用spectre语法描述的电路在进行电路仿真时则是区分大小写的,即节点名分别为“IN”与“in”的两个节点是完全不相同的。这一点在进行电路仿真时要特别注意。

(c) 若对schematic中的端口是采用全数字来进行标注或端口名的第一个字母是数字,如“0”或以“0”开头,则在电路仿真生成的网表“input.scs”中,对该端口名前会自动添加上“_net0”,从而使schematic中的端口名与网表中的节点名不一致。所以尽量要用用全英文字符串来标注schematic中的端口名。

(d) 对schematic中未指定端口名的节点,spectre在电路仿真生成电路网表时是自动给这些未指定端口名的节点命名的,一般以“_net”开头,后面接编号。为保证PEX提取出的版图网表和spectre提取出的电路网表尽量一致,应对schematic作如下处理:凡是版图中标有端口名的地方,在schematic中的相应地方也要采用pin标注上一样的端口名。(由于电源电压节点“vdd!”和地节点“0”比较特殊,这里可暂时不考虑schematic中电源电压节点和地节点的端口标注,后面将会介绍这两个端口的处理方法。)

(4) 网表的替换可采取如下两种方法:

一是:不改动PEX提取出来的版图网表“netlistinv”中的节点和端口名及顺序,而是

改动schematic电路仿真生成的电路网表“input.scs”中的节点和端口名及顺序,使之与前者完全一致。

二是:不改动schematic电路仿真生成的电路网表“input.scs”中的节点和端口名及顺序,而是改动PEX提取出来的版图网表“netlistinv”中的节点和端口名及顺序,使之与前者完全一致。这种方法对小电路还可行;但对大的电路则不可行,因为大的电路中的需改动的地方很多。

因而,一般是采用第一种方法来替换“input.scs”中的电路网表。

(5) 在用PEX提取出来的版图网表“netlistinv”替换schematic电路仿真生成的电路网表“input.scs”中的相应电路描述部分时也有两种方法:

一是:打开PEX提取出来的版图网表“netlistinv”和schematic电路仿真生成的电路网表“input.scs”,将PEX提取出来的版图网表“netlistinv”中的内容复制到“input.scs”中,并替换掉“input.scs”相应的电路描述部分。然后修改“input.scs”文件中的所有节点和端口名及顺序,使两者完全一致。

二是:直接删除schematic电路仿真生成的电路网表“input.scs”中与PEX提取出来的版图网表“netlistinv”相应的电路描述部分,然后用“INCLUDE netlistinv”命令代替该电路描述部分,即直接将PEX提取出来的版图网表“netlistinv”包含到“input.scs”中。然后修改“input.scs”文件中的所有节点和端口名及顺序,使两者完全一致。

(6) 修改PEX提取出来的版图网表“netlistinv”中的所有元件的模型名,使之与model文件中定义的元件的模型名完全一致。

(7) 在修改后的电路网表“input”文件中加入如下语句:

在“input”文件中被替换的电路描述部分之前加入命令:

simulator lang=spice (意思是该命令之后的电路网表是以spice语法来描述的) 在“input”文件中被替换的电路描述部分之后加入命令:

simulator lang=spectre (意思是该命令之后的电路网表是以spectre语法来描述的) (8) 在用spectre进行电路仿真时,应特别注意以下事项:

spectre在进行电路仿真时,默认的电源电压节点只有“vdd!”,默认的地节点只有“0”;并且“vdd!”和“0”均被定义成了全局变量(global 0 vdd!)。

故在对spectre网表“input.scs”进行电路仿真之前,应先确保PEX提取出来的版图网表“netlistinv”中的电源电压节点VDD和地节点GND(spice语法描述的)和spectre所定义的电源节点“vdd!”和地节点“0”是连接在一起的。修改的方法有如下两种:

(a) 由4(3)(a)可知:版图中的电源电压端口和地端口应采用大写或小写的“vdd”和“gnd”来进行标注,故PEX所提取的版图网表中电源电压节点和地节点分别为“VDD”和“GND”。因而只需将PEX所提取的版图网表中的所有电源电压节点“VDD”和地节点“GND”分别替换为spectre语法所下定义的电源电压节点“vdd!”和地节点“0”即可。

(b) 对于电源电压节点和地节点的统一,还可采取下述方法很方便的解决:

在schematic电路图中:在电源电压节点“vdd!”(即analogLib中vdd symbol,它为一“T”字形)和电路中需要提供电源电压的节点之间串联一个电压为0的电压源;同时,也在

地节点“0”和电路中需要接地的节点之间也串联一个电压为0的电压源,如下图所示。然后,在电路中需要提供电源电压的节点和需要接地的节点上用pin分别标注上“vdd”和“gnd”端口。这样,在电路仿真所生成的网表“input.scs”中,spectre就加入了与PEX提取出的版图网表中完全一致的电源电压端口“VDD”和地端口“GND”,从而保证了spectre电路仿真生成的schematic网表“input.scs”和PEX提取的版图网表中的所有端口名的完全一致。故只需用PEX提取的版图网表替换“input.scs”中相应的电路描述部分即可。(文件夹“postinv_2”就是采用这种方法解决“vdd”和“gnd”端口的一致性问题的)

5. 在终端中用spectre运行改好的电路网表“input.scs”,运行步骤如下:

(1) 在…/simulation/postinv文件夹下打开终端treminal;

(2) 输入命令csh,并键入回车,将运行环境切换到cshell环境;

(3) 输出命令:

spectre –raw psf input.scs

并在其后键入回车,即用spectre运行电路网表文件“input.scs”;其中的“psf”为指定的保存仿真输出结果的文件夹,该文件夹名可以任意取定,如“output”等均可以。

若提示没有error信息,则表示仿真成功。

6. 在终端中用spectre进行电路仿真完成后,再查看输出波形。

(1) 将前仿真生成的文件夹“…/simulation/inverter_simu/spectre/schematic/psf”中“runObjFile”文件复制到保存后仿真输出结果的文件夹“…/simulation/postinv/psf”中。

(2) 查看输出波形,方法有两种:

(i) 用旧版的波形查看窗口,方法如下:

在…/simulation/postinv文件夹下打开的终端terminal中输入命令:

awd &

(注意:这里的“&”表示在后台运行该命令,它可所打开的终端还可输入其它命令。如不加“&”后缀,则该终端就死掉了,不可再输入其它任何命令。)

并在其后键入回车,即弹出“Browser Project Hierarchy”对话框,在其中的“Porject Directory”栏目中填写保存后仿真输出结果的文件夹“…/simulation/postinv/psf”的路径“./psf”,并键入回车,则弹出“Results Browser”窗口。左击“Results Browser”窗口下的左侧小窗口中的“dc-dc”或“ac-ac”或“tran-tran”,则在右侧的小窗口中显示出相应的分析类型所对应的各个节点,然后右键双击节点名即弹出该节点的电压波形图;左键双击则可调出calculator。

(ii) 启动Cadence中的新版Results Browser来查看输出波形。

输入命令“icfb &”,启动cadence。打开tools->Analog Artist Environment->Results Browser 即可打开Results Browser对话框。点击上侧菜单栏中的:File->Open,找到要保存仿真输出结果的文件夹“…/simulation/postinv/psf”并打开,则会在Results Browser下方的左侧的小窗口中显示所保存的所有仿真结果文件,如“dc-dc”或“ac-ac”或“tran-tran”等。若左击左侧小窗口中的“dc-dc”或“ac-ac”或“tran-tran”,则在右侧的小窗口中显示出相应的分析类型所对应的各个节点。然后右击节点名,则显示出一个下拉列表,根据需要选择上节点波形的输出方式(append表示与前面的所有波形显示在同一窗口中;replace表示替换掉波形窗口中已显示的波形;newsubwin表示以新的子窗口的形式显示该波形;newwin表示以新的窗口的形式显示该波形)。在弹出的波形窗口中,点击tools->calculator即可调出计算器,通过calculator可对波形进行运算,也可对不不同仿真结果中的波形进行运算处理。

7. 在查看波形时,会发现一个比较严重的问题。

如果第2步中电路仿真所生成的网表文件“input.scs”是直接画电路图就进行电路仿真得到的;而不是先画电路图建立symbol,再调用symbol进行电路仿真得到,则仿真结果中总不能正常显示出输出波形。

这是因为采用Analog Artist Environment得到的仿真结果中的节点及端口名是区分大小写的(spectre语法),而PEX提取出来的版图网表“netlistinv”中的节点及端口名是不区分大小写的(spice语法),且提取出的节点及端口名均以大写表示。若电路仿真所生成的网表文件“input.scs”的节点和端口名是以小写表示的,则在第2步电路仿真所生成的输出仿真结果文件夹中的“…/simulation/inverter_simu/spectre/schematic/psf”中的“runObjFile”所记录的节点和端口名也是小写的,即使将“input.scs”中节点和端口名及顺序改为与“netlistinv”完全一致,但是它们的大小写却无法统一,因而在输出结果中同一个节点和端口同时出现了大写的(对应于PEX提取出来的版图网表“netlistinv”)和小写的(对应于第2步电路仿真生成的网表文件“input.scs”),致使仿真结果不能正常保存和显示。

解决这一问题的方法有三种:

(1) 在电路仿真时,先将要进行电路仿真的schematic cellview(如取名为inverter_simu_1)建成symbol,然后再建一个新的仿真用schematic cellview(如取名为inverter_simu_2)。在schematic cellview (inverter_simu_2)中调用inverter_simu_1的symbol来构造电路图并进行电路仿真,

再用对inverter_simu_2进行电路仿真所生成的电路网表文件“input.scs”进行后仿真。

这样,spectre在电路仿真时所生成的网表文件“input.scs”中的主电路部分是以子电路的形式描述的,在子电路之外的元件只有电压源和输入激励源。通过这样的子电路形式处理后,可避免所有的节点或端口名的不一致问题,不需要在schematic中外加冗余的零电压源来加入电源电压端口“vdd”和地端口“gnd”(参见4(8)(b)),只需保证端口顺序完全一致即可。故在后仿真时,推荐采用这种方法进行后仿。采用这种方法可实现如下效果:

(a) 由于PEX提取出来的电路网表均是以子电路的形式描述的,故很容易实现“input.scs”中的描述inverter_simu_1的电路网表的替换。

(b) 输入激励源的非地节点也在子电路之外。由于spectre在提取schematic的电路网表时,对子电路是两套节点处理方法,即子电路两套节点:一是主电路调用子电路时所定义的一套子电路外部节点;二是子电路定义时所下定义的一套内部节点。

(i) 子电路外部节点。spectre在提取schematic的电路网表,给主电路中的每一个节点都定义了一个节点名,这些节点处于最上层,主电路的仿真结果都保存在这些最上层的节点中。在主电路调用子电路时,根据子电路的端口调用顺序赋予了一套这样的处于最上层的节点,也即外部节点。

(ii) 内部节点。内部节点是spectre通过symbol提取电路时所生成的一套节点,它是根据建立symbol的schematic cellview的端口定义来生成的。(其实,symbol相当于是子电路) 对于上例中的电路图单元inverter_simu_1,由于在建立symbol时需先采用pin对inverter_simu_1的端口进行定义,即需定义vdd、gnd、输入端口in和输出端口out。而在建立symbol时也保存了这些端口信息,故这些端口也就是symbol(或子电路)的内部节点。或者说,spectre在提取inverter_simu_1的symbol的网表时,实质上也就是在提取inverter_simu_1的网表。而在提取电路网表时,若某个节点或端口已经采用 pin进行了命名,则所生成的电路网表的相应节点也应采用该pin名称,也即pin实际上是定义了电路网表的节点名称。

对于采用symbol仿真的inverter例子,它的节点定义如下:

内部节点: .subckt inverter_simu_1 GND IN OUT VDD

.ends inverter_simu_1

外部节点: I0 (0 net3 OUT vdd!) inverter_simu_1

虽然在提取网表时,对symbol(或子电路)赋予两套节点,即外部节点和内部节点,但在进行电路仿真时它们只相当于一套节点,语法规定这不是重复定义,因而不会导致仿真出错。

由于第2步中电路仿真过程中所生成的保存输出仿真结果的记录文件“runObjFile”(保存在第2步电路仿真所生成的输出仿真结果文件夹“…/simulation/inverter_simu/spectre /schematic/psf”中)所记录的节点信息为外部节点信息,故通过symbol(或子电路)处理后,“runObjFile”保存的节点信息与symbol(或子电路)的内部节点无关,从而保证了symbol(或子电路)的内部节点不影响输出仿真结果的保存和输出仿真结果的正常显示。(文件夹“postsimu_inv”则是采用调用symbol建立schematic cellview的方法进行后仿真的结果)

如果是直接对inverter_simu_1进行电路仿真,则PEX提取出来的版图网表“netlistinv”不能以子电路形式嵌入到“input.scs”中。此时,输入激励源的非地节点和输出节点可能会由于大小写的缘故不能正常保存仿真数据结果。若第2步中电路仿真所生成的网表文件“input.scs”的inverter的输入和输出节点分别为小写的in和out,而PEX提取出来的版图网表“netlistinv”的输入和输出节点则分别为大写的IN和OUT。由于第2步中电路仿真所生成的输出仿真结果记录文件“runObjFile”保存的节点名是小写的,而替换后的“input.scs”网表文件中的输入和输出节点又以大写形式表示,则保存输出结果信息时就会出现混乱,从而不能正常显示节点波形。

注意:采用这种方法在替换网表时,可用INCLUDE命令直接替换,不必复制。但需修改PEX提取的版图网表中所定义的子电路的名称和端口顺序,使之与主电路调用时的名称和端口顺序完全一致。(文件夹“postinv_0”就是采用这种方法进行后仿真的)

(2) 直接对inverter_simu_1进行电路仿真,在修改网表“input.scs”时作如下处理:

(a) 用PEX提取出来的版图网表“netlistinv”的内容替换掉到对inverter_simu_1进行电路仿真所生成的网表“input.scs”中相应的电路描述部分(注意:“netlistinv”用INCLUDE命令包含的文件也需要复制到后仿文件夹中)

(b) 将“netlistinv”的所有节点和端口名及顺序改为与对inverter_simu_1进行电路仿真所生成的网表“input.scs”中的节点和端口名及顺序完全一致,并且节点和端口顺序要以对inverter_simu_1进行电路仿真所生成的网表“input.scs”为准。

对于小的电路,这样的操作还勉强可行,但对于较大规模的电路,这样的操作是几乎不可行的。(文件夹“postinv_1”则是采用直接对schematic cellview进行电路仿真生成“input.scs”的方法进行后仿真的结果)

(3) 也是直接对inverter_simu_1进行电路仿真,但在仿真之前先采用4(8)(b)所述方法对电路图进行处理,然后再进行后仿真。这种方法也比较简单,推荐也可采用这种方法来做后仿真。采用这种方法处理网表“input.scs”的过程如下:

(a) 先对schematic电路图的端口进行处理,保证spectre仿真生成的电路网表“input.scs”和PEX提取的版图网表的端口名完全一致:

在电源电压节点“vdd!”(即analogLib中vdd symbol,它为一“T”字形)和电路中需要提供电源电压的节点之间串联一个电压为0的电压源;同时,也在地节点“0”和电路中需要接地的节点之间也串联一个电压为0的电压源,如下图所示。然后,在电路中需要提供电源电压的节点和需要接地的节点上用pin分别标注上“vdd”和“gnd”端口。

在schematic电路图中,用pin标注上与PEX提取的版图网表完全一致的输入输出端口。

(b) 完全(a)步骤后,再调用Analog Artist进行电路仿真,得到spectre生成的电路网表“input.scs”和“runObjFile”文件。然后,用PEX提取的版图网表替换“input.scs”中相应的电路描述部分,注意要保证两者的端口顺序也完全一致,之后的后仿过程同前。

(文件夹“postinv_2”就是采用这种方法进行后仿真的)

注意事项:

1、在对EEPROM和RF工艺电路进行后仿时,注意里面的三极管的描述需要修改。

后仿真时,将版图提取出来的电路网表替换电路仿真生成的“input.scs”文件中的相应的电路网表时,注意其中的三极管描述要进行修改。三极管的描述方式要按照电路仿真生成的“input.scs”文件中的描述方式来进行描述说明,不能按版图提取出来的spice语法格式来描述,否则仿真时不能后仿真时不能正常调用三极管的相应模型来进行仿真。

对chartered EEPROM和RF工艺,其三极管的电路描述方式好像如下:

Q1 C B E 模型名 m=2 (m表示并联的三极管的个数,与MOS管的m意义一样)

SimTrade-外贸模拟实训报告

外贸模拟实训(SimTrade) 一、实训目的 1、了解进出口工作中出口商、进口商、工厂、进口地银行、出口地银行的职责和岗位要求。 2、要求学生能够进行国际市场调查、交易磋商、签订国内与国际合同。 3、要求学生能够掌握出口单证的制作。缮制发票、箱单、出口报关单、报检单、产地证、汇票、提单。 4、要求学生能够掌握进口单证的制作。开立信用证、缮制进口报关单、报检单、并审核信用证项下的全套单证。 5、要求学生能够掌握进出口银行对结算单证的审核工作。 二、实训内容及过程 (一)实训内容 1. 熟悉和掌握一般贸易的实际运用。 2. 参与国际贸易买卖合同的磋商,熟悉询盘、发盘、还盘和接受环节的实践过程,掌握合同条款的具体规定与表达。 3. 掌握国际贸易货物买卖合同履行过程中货、证、船、款等内容。 4. 了解国际贸易买卖中货款的结算方式,熟悉与掌握L/C、T/T、D/P、D/A的结算程序和运用技巧。 5. 熟悉与掌握信用证的申请、开证、审证要点。 6. 熟悉海洋运输方式的具体操作。 7. 熟悉各种单据的缮制与运用技巧。 8. 了解如何在国际贸易货物运输过程中减少风险,熟悉与掌握价格术语CIF、CFR、FOB 的细节与运用技巧。 (二)实训过程 上机实训前,我们需要做一个准备工作,主要是了解和认识SimTrade实习平台

的相关内容和注意事项,初步熟悉各操作画面,查看公司角色的概况,建立属于自己的四个角色:进口商、出口商、工厂、进口地银行以及出口地银行。然后了解自己角色的财务状况、库存状况、业务中心、信用证和议付单据等内容,填写自己公司的有关资料。 之后,我们要自行动手参与到贸易模拟业务中。它大概包括这几个过程: (1)交易准备。它包括选择目标市场、选择目标产品,去“淘金网”发放广告以及寻找商机,再选择交易对象,通过发收相于建立业务关系的电子邮件与客户建立合作关系。 (2)交易磋商阶段。它包括出口报价核算、出口发盘(计算FOB、CFR、CIF),出口还价核算及还盘(要求强调原价的合理性,并列明理由,催促对方行动),最后进行出口成交核算(采用“帮助”中方法计算利润总额、成交利润率等)。 (3)签订合同。它包括起草合同,填写出口预算表、合同送进口商。其中合同为各单证填写的单证,是重要的核心单据之一。所以填写勿必要仔细严谨。出口预算表的填写是最为复杂的部分,涉及面广,要考虑的东西很多。如集装费用的合理性,各税目的计算、报关、报验费用,适合的保险费用、其他费用等。往往都是通过对货物的具体分析夹选择广告牌出这些数据,计算这些数据时要细心和耐心,只有把所有因素考虑周全计算后的结果者是最全理、最有利的。同时也为后面的单证填写做好数据准备。 (4) 履行合同,它包括出口托运定舱、出口货物投保,出口货物报验及报关、出口制单结汇、出口业务善后(核销、通税、索赔)等,这个履行合同阶段大部分是在崔证、审证、改证中度过的。 (三)实训要求 1. 遵守相关法律法规,不得在网上发表违法言论。 2. 按实训内容,认真进行准备,积极开展调查活动,刻苦学习,并做好实习日记。 3. 在实训期间应尽可能的多做业务,熟练掌握进出口业务相关环节的主要业务及操作技巧。 4. 每个角色必须完成以下任务: (1) 出口商:至少完成4笔以上出口业务(L/C、D/P、D/A、T/T各1笔)。 (2) 进口商:至少完成4笔以上进口业务(L/C、D/P、D/A、T/T各1笔)。

simtrade实习案例电子版 完整

实习案例 这是一套完整的SimTrade 实际业务操作实例,交易方式为L/C + CIF,由于不同交易方式下贸易流程不尽相同,本案例中的数据资料与单据内容仅供参考,请依具体情况来完成实际操作。 一、交易准备 实习目的: 学生熟悉各角色基本画面,掌握广告宣传与市场调查的方法。 实习内容简介: 1、了解SimTrade基本用法。 2、以每个角色登录并注册公司(银行)名称,在"资料"中输入必要的信息。 3、选择目标产品与交易对象,做好市场调查。 4、发布广告及供求信息。 实习步骤: (一)进口商 1、学生以进口商角色登录,在登录画面中输入用户名(如xyz),在"选择用户类型"下拉框中选择"进口商",点"登录系统"按钮,进入进口商业务主页面。 2、创建公司。 ①点"资料",可查看公司注册资金、帐号、所属国家、单位代码、邮件地址等资料,其它逐项填写如下(还可自由添加图片) 注意事项:最好使用GIF或JPG格式的图片,尺寸建议在120*120(像素)左右。 ②填写完毕后,点"确定¨。 3、发布公司广告。 (1)点"业务中心"里标志为"广告公司"的建筑物; (2)在弹出页面中点"发布广告",逐项填写如下: 输入标题: We are importers of Canned Foodstuffs! 输入关键字:Canned Foodstuffs 选择发布类型为"公司广告" 输入内容:We are importers of Canned Foodstuffs enjoying good reputation, please contact with us! email:xyz2@simtrade (3)填写完毕后,点"确定",成功发布公司广告。 注:自己的电子邮件地址可在公司基本资料页面中查到。 4、寻找商机。

calibre电子书制作教程

kindle下英文书的mobi资源很多,但是中文版的mobi资源很少,大多需要自己制作来完成。自从拿到kindle后,很是折腾了一把,小有心的,和大家分享一下。帖子的内容主要集中在如何通过calibre来自制mobi格式的书籍,集中在txt到mobi的过程 需要使用到的软件 ?calibre,下载地址https://www.wendangku.net/doc/f212947023.html,/download ?kindle for pc,https://www.wendangku.net/doc/f212947023.html,/30427/KindleForPC-installer.exe 用来检查输出后的效果,calibre内置的阅读器速度太慢。 ?一个好一点的文本编辑器,要支持两个功能:regex(正则表达式)和unicode格式转换功能,我现在用的是emeditor ?文本处理/排版工具软件 1.GIDOT TYPESETTER,https://www.wendangku.net/doc/f212947023.html,/typesetter/ 2.Textforever,可能会用到,主要用于html文件到txt的整理工作 https://www.wendangku.net/doc/f212947023.html,/stronghorse/software/index.htm#TextForever 我在这里举几个例子大家,按照我的步骤操作一下,你基本上就会使用calibre了 第一个例子 话说我今天早上想起来,突然想看一本武侠小说,于是就去到一个我经常逛的网站:好读,这个网站以精排版的电子书闻名。好,我们随便挑一本书,古龙的《欢乐英雄》(https://www.wendangku.net/doc/f212947023.html,/?M=Share& P=0604)。好读支持的格式是PDB的,但是pdb的格式不能在kindle上直接打开,需要转换。先装一个pdb for calibre的插件,https://www.wendangku.net/doc/f212947023.html,/calibre-haodoo/。 我们在calibre当中把下载的pdb文件拖到calibre中去,点击工具栏上的“convert books", 左上角是输入格式,右上角是输出格式,如果你需要不高的话,直接点击ok,就是直接转成了mobi格式了

使用Calibre实现RFCMOS电路寄生参量的提取及后仿真

使用Calibre xRC实现RFCMOS电路的寄生参量提取 及后仿真 郭慧民 [摘要] Calibre xRC是Mentor Graphics公司用于寄生参量提取的工具,其强大的功能和良好的易用性使其得到业界的广泛认可。本文以采用RFCMOS工艺实现的LNA为例,介绍使用Calibre xRC对RFCMOS电路寄生参量提取,以Calibreview 形式输出以及在Virtuoso的ADE中直接后仿真的流程。本文还将讨论Calibre xRC特有的XCELL方式对包含RF器件的电路仿真结果的影响。 采用Calibre xRC提取寄生参量 采用RFCMOS工艺设计低噪声放大器(LNA),其电路图如图1所示,版图如图2所示。 图1 LNA的电路图

图2 LNA的版图 Calibre支持将其快捷方式嵌入在Virtuoso平台中。用户只需在自己.cdsinit文件中加入以下一行语句: load( strcat( getShellEnvVar("MGC_HOME") "/lib/calibre.skl" )) 就可以在virtuoso的菜单中出现“calibre”一项,包含如下菜单: 点击Run PEX,启动Calibre xRC的GUI,如图3所示。Outputs菜单中的Extraction Type里,第一项通常选择Transistor Level或Gate Level,分别代表晶体管级提取和门级提取。第二项可以选择R+C+CC,R+C,R,C+CC,其中R 代表寄生电阻,C代表本征寄生电容,CC代表耦合电容。第三项可以选择No Inductance,L或L+M,分别代表不提取电感,只提取自感和提取自感与互感。这些设置由电路图的规模和提取的精度而定。 在Format一栏中,可以选择SPECTRE,ELDO,HSPICE等网表形式,也可以

Simtrade国际贸易实训报告

实验项目名称Simtrade 实习 实验场所教学楼1001机房 所属课程名称国际贸易实训 实验类型综合性实验 _______ 实验日期2016.9.8 —10.27 专业国际经济与贸易 班级13级国贸(4)班 学号 _____________ 姓名 _______________ 成绩 _______________________

评分标准参考及评分: 指导教师签名:批阅日期:

【实验原理】 1、熟悉和掌握一般贸易的实际运用。 2、参与国际贸易买卖合同的磋商,熟悉询盘、发盘、还盘和接受环节的实践过程,掌握合同条款的具体规 定与表达。 3、掌握国际贸易货物买卖合同履行过程中货、证、船、款等内容。 4、了解国际贸易买卖中货款的结算方式,熟悉与掌握L/C、T/T、D/P、D/A的结算程序和运用技巧。 5、熟悉与掌握信用证的申请、开证、审证要点。 6、熟悉海洋运输方式的具体操作。 7、熟悉各种单据的缮制与运用技巧。 8、了解如何在国际贸易货物运输过程中减少风险,熟悉与掌握价格术语CIF、CFR FOB的细节与运用技巧。【实验环境】(使用的软硬件) 1、计算机。 2、Simtrade外贸实习平台软件。 实验内容: 【实验过程】(实验思路、步骤、记录、数据、分析等) 一、交易准备阶段 1进入岀口商业务主页面。 2创建公司。 3发布公司广告。 4寻找商机。 5查看交易对手。 6查看交易商品。 二、交易磋商阶段 7收取进口商询盘邮件。 8发布国内采购信息。 9收取工厂希望建立业务关系的邮件 10回复邮件。 11收取工厂报价的邮件。 12根据工厂的报价,核算岀成本与利润后,再向进口商发盘。 13收取进口商接受发盘的邮件。 三、签订合同 14起草外销合同、制作岀口预算表。 15发送合同。 16收取进口商已确认合同的通知邮件。 四、履行合同阶段 17回复工厂报价的邮件。 18起草国内买卖合同。 19确认合同填写无误后,合同送工厂。 20收取工厂已放货的通知邮件。 21租船订舱。

StarRCXT使用指南

Star-RCXT使用指南单元库设计B组:张真华马艳

目录 Star-RCXT使用指南 (1) 1 .Star-RCXT概述 (3) 功能 (3) 2 .使用环境及所需文件 (3) 3 .运行流程 (4) Hercules 流程 (4) CCI (Calibre Connectivity Interface)流程 (9) 4 .更多StarRCXT命令选项 (12) Extraction命令 (13) Processing 命令 (13) Netlist命令 (13) 5 .StarRCXT运行过程中常见问题 (13) 6 .帮助文档 (15)

1 . Star-RCXT概述 Star-RCXT是一个集成电路版图寄生参数提取工具,并且生成一个带有版图寄生参数的网表供时序和噪声分析。 功能 1、可以完成精确的全芯片的噪声、时序、电压降分析。 2、有选择的对关键路径进行参数抽取和分析。 3、适用于各种设计类型如ASIC、全定制、存储器和模拟设计。 4、提供层次化和分布式处理。 2 .使用环境及所需文件 说明: 1、Star-RCXT 可以直接读取Milkyway ,LEF/DEF, Calibre Connectivity Interface(CCI)和Hercules流程所产生的数据库。 2、TCAD_GRD_FILE是一个以nxtgrd为扩展名的文件,其中包含了对方块电阻等工艺

参数的定义的工艺文件(ITF)的内容, Star-RCXT就是基于这些工艺参数进行计算。 3、MAPPING_FILE是一个以map为扩展名的文件,是TCAD_GRD_FILE中的层名和LVS runset文件中定义的层名的一个映射。不同的LVS runset文件需要定义不同maping文件。 4、star_cmd是一个包含所要执行命令的文件,通常用在命令行方式下,可以通过添加修改文件中的命令来达到所需应用。 5、Star_RCXT有SPF、SPEF、SBPF等输出格式。 3 . 运行流程 运行流程有以下几种:Milkyway Database Flow、LEF/DEF Database Flow、Hercules Database Flow、Calibre Connectivity Interface (CCI) Flow。各个流程的主要区别是各自生成的含有版图信息的数据库不同。 Hercules 流程 1、在hercules lvs runset 文件中找到如下语句并将值设为TRUE: (以/*开头的为注释语句。) /*Set to “TRUE” for Hercules StarRCXT TR ;level RC flow*/ V ARIABLE string STARRCXT =”TRUE”; 这行命令将会在执行LVS的同时生成一个带有LAYOUT信息的Milkyway Database。 2、运行LVS。(过程参见验证工具使用指南) 完成后将会在运行目录下生成一个和BLOCK名称相同的文件夹,这就是StarRCXT可以直接读取的Milkyway Database。 3、运行StarRCXT(图形化界面方式) #StarXtract -gui 将会看到如下界面: 选择Setup-〉Single Shot

优秀《SimTrade外贸实习平台操作》课程总结报告三篇(一看就知道是我想要的)

《外贸SimTrade实习平台操作》 课程总结报告三篇 第一篇 实习目的:两个周的SimTrade外贸模拟已经结束,外贸实训是对我们所学国际贸易实务理论知识的实践与运用,是学习专业课的重要环节。实习为我们提供了一个走入社会、认识社会、认识自己、评估自己的机会,通过实习熟悉外贸实务的具体操作,增强了感性认识和社会适应能力,进一步巩固、深化已学过的理论知识,提高综合运用所学知识发现问题、解决问题的能力,并认识到在专业理论知识学习过程中的不足。 在Simtrade这个虚拟贸易平台中,通过扮演不同的角色,熟练掌握各种业务技巧,了解到国际贸易的物流、资金流和业务流的运作方式,增强感性认识和社会适应能力,进一步巩固、深化已学过的理论知识,提高综合运用所学知识发现问题、解决问题的能力。在机房进行国际货物买卖实务的具体操作,能很快掌握进出口的成本核算、询盘、发盘与还盘等各种基本技巧;熟悉国际贸易的物流、资金流与业务流的运作方式;切身体会到国际贸易中不同当事人面临的具体工作与他们之间的互动关系;学会外贸公司利用各种方式控制成本以达到利润最大化的思路;认识供求平衡、竞争等宏观经济现象,并且能够合理地加以利用。老师通过在网站发布新闻、调整商品成本与价格、调整汇率及各项费率等方式对国际贸易环境实施宏观调控,使学生在实习中充分发挥主观能动性,真正理解并吸收课堂中所学到的知识,为将来走上工作岗位打下良好基础。 实习内容:根据国际贸易法律与惯例,结合我过的实际情况与国际贸易实践,以出口贸易的基本过程为主线,以模拟设定的具体出

口商品交易作背景,针对出口贸易中业务函电的草拟、商品价格的核算、交易条件的磋商、买卖合同的签订、出口货物的托运订舱、报验通关、信用证的审核与修改以及贸易文件制作和审核等主要业务操作技能。 总结: 经过了两周的贸易实务实习,让我们了解到商务工作挺复杂的,而且在此工作中要有忍心、恒心、信心。实习中,我们在模拟的商务活动中,有十五个主要业务操作,针对这些操作每天都有不同的心得体会,而且发现了不同的问题,可以说在是受益非浅。国际贸易的中间环节多,涉及面广,除交易双方当事人外,还涉及商检、运输、保险、金融、车站、港口和海关等部门以及各种中间商和代理商。如果哪个环节出了问题,就会影响整笔交易的正常进行,并有可能引起法律上的纠纷。另外,在国际贸易中,交易双方的成交量通常都比较大,而且交易的商品在运输过程中可能遭到各种自然灾害、意外事故和其它外来风险。所以通常还需要办理各种保险,以避免或减少经济损失。 对专业外贸知识的掌握学习,掌握不同贸易术语在海运、保险方面的差异。在询盘、发盘、还盘、接受环节的磋商过程中,灵活使用贸易术语(CIF、CFR、FOB)与结算方式(L/C、T/T、D/P、D/A),正确核算成本、费用与利润,以争取较好的成交价格;根据磋商内容,正确使用贸易术语与结算方式签订外销合同,以及四种主要贸易术语(L/C、T/T、D/P、D/A)的进出口业务流程;为磋商内容做好备货工作,正确签订国内购销合同。正确填写各种单据(包括出口业务中的报检、报关、议付单据,进口业务中的信用证开证申请);掌握开证、审证、审单要点。

calibre 介绍

epub电子书格式转换(E-book Conversion翻译) – calibre calibre转换系统的设计非常容易使用。通常情况下,你只需要添加一本书到calibre, 单击转换, calibre将尽可能接近输入地产生输出。然而,calibre接受的输入格式非常多,但并非适合所有这些格式都转换为其它格式电子图书。在这种情况下对于这些输 入格式,如果你想在更大程度上控制转换系统,calibre有很多转换过程中的控制选项。但是请注意,calibre的转换系 统并不是一个完全成熟的电子书编辑器的替代品。要编辑电子书,我建议首先使用calibre将它们转换为EPUB,然后用专 用EPUB的编辑器,如Sigil ,以获得 完美的造型成书。然后,您可以使用编辑过的EPUB作为输入,用calibre 转换为其他 格式。 这份文件将主要是指转 换设置,如下图转 换对话框所示。所有这些设置也可以通过命令行界面的转换,并记录电子书转换。 在calibre,您可以通过移动你的鼠标,在任何个人设置中获得帮助,会出现一个工具 提示描述设置。 本文来自CSDN博客,转载请标明出处: https://www.wendangku.net/doc/f212947023.html,/ccwwff/archive/2010/11/02/5982552.aspx

内容 简介 外观和感觉 页面设置 结构检测 目录 如何设置选项/保存的转换特定格式的转换提示

简介 首先要了解有关转换系统,它是一个管道设计的。示意图如下: 输入格式是先由相应的输入插件转换为XHTML。然后转换HTML。在最后一步,处理XHTML是有适当的输出插件转换到指定的输出格式。转换的结果根据输入格式可能差别很大。一些格式转换比其它工具好。这里有一个最 好的源格式转换列表如: LIT, MOBI, EPUB, HTML, PRC, RTF, PDB, TXT, PDF。 该转换XHTML的输出上的行为是发生在所有的工作。有各种各样的转换,例如,在书的开始要插入书元数据(metadata)页,用来检测章节标题并自动创建的目录表,按比例调整字体大小,等等。重要的是要记住,所有的转换是XHTML输出输入插件的行为不是在 输入文件本身。因此,举例来说,如果你问calibre转换RTF文件为EPUB的,它首先被内部转换为XHTML,各种转换将被应用到的XHTML,然后输出插件将创建EPUB 的文件,所有元数据(metadata)、目录等等,自动生成。 您可以通过使用调试选项看到这一 行动的过程。只需指定调试的路径为输出目录。在转换过程中,calibre将会把生成的XHTML转换流水线的各个阶段放在不同子目录。四个子目录是: 转换管道阶段 目录 | 说明 input | 这包含了HTML输出输入插件。使用此调试输入插件。 parsed | 前处理和从输入插件转换为XHTML输出结果。用于调试的结构检测。

外贸流程培训教材

销售单位:在SimTrade中,合同的成交数量以销售单位来计算,单据中的Quantity 项也是以销售单位来填写。 包装单位:在SimTrade中,体积与重量都是针对一个包装单位而言。单据中有关"Package"或"件数"项,须填写包装的数量及单位;有关"毛重"或"净重"项,须先根据成交数量计算出包装数量,再根据包装数量计算出重量来填写。 毛重、净重:在SimTrade中,毛、净重是指一个包装单位的毛、净重。 以下的信息为 1. L/C+CIF履约流程 2. 出口预算表的填写 3. 进口预算表的填写 4. 和单据的样表(大家可以先熟悉一下各个样表该怎样填写!!!) L/C+CIF履约流程 说明:外销合同可以由出口商或进口商起草,国内购销合同也可以由出口商或工厂起草,然后送对方签字确认即可,本表中仅以出口商起草的情况为例。以下为流程步骤,

出口商的工作: 出口预算表的填写 在进出口贸易中,商品的价格是买卖双方磋商的焦点,有时也是成交的决定性因素。正确掌握进出口商品价格构成,合理采用各种作价方法,选用有利的计价货币和贸易条件,准确核算成本、利润,具有十分重要的意义。在SimTrade中,当出口商起草合同完毕,发送给对方时,必须先填写出口预算表,预估各项费

用;交易完成后,实际发生的金额将列于右侧栏中,供学生核对自己计算得正确与否。以下就出口预算表中各项费用的计算加以说明,便于学生填写时参考。 注意出口预算表填入的数字全部为本位币,且都保留两位小数。如需换算,汇率请参考"淘金网"中的"银行"页面,如没有该项费用发生,请填"0"。 请选择以下主题查看相关内容: 基本计算 一、合同金额 二、采购成本 三、FOB总价 四、内陆运费 五、报检费 六、报关费 七、海运费 八、保险费 九、核销费 十、银行费用 十一、其他费用 十二、退税收入 十三、利润 基本计算 (一)毛重、净重、体积计算 首先到"淘金网"的"产品展示"中记下产品每箱的重量、体积,其次查询产品的销售单位与包装单位是否相同。 在计算重量时,对销售单位与包装单位相同的产品(如食品类产品),可直接用交易数量×每箱的毛(净)重;对销售单位与包装单位不同的产品(如玩具类、服装类产品),须先根据单位换算计算出单件的毛(净)重,再根据交易数量计算总毛(净)重。 在计算体积时,对销售单位与包装单位相同的产品(如食品类产品),可直接用交易数量×每箱的体积;对销售单位与包装单位不同的产品(如玩具类、服装类产品),须先根据单位换算计算出包装箱数,再计算总体积。(注意:包装箱数有小数点时,必须进位取整箱。) 例1:玩具类产品08001项,销售单位是UNIT(辆),包装单位是CARTON(箱),单位换算显示是每箱装6辆,每箱毛重23KGS,每箱净重21KGS,每箱体积0.08052CBM。如果交易数量为1000只,试分别计算毛、净重、体积? 解:毛重的计算: 单件的毛重=23÷6=3.833KGS 总毛重=3.8333×1000=3833.3KGS 净重的计算: 单件的净重=21÷6=3.5KGS 总净重=3.5×1000=3500KGS 体积的计算: 包装箱数=1000÷6=166.6,取整167箱 总体积=167×0.08052=13.447CBM 例2:食品类产品01001项,销售单位是CARTON(箱),包装单位也是CARTON(箱),每箱毛重11.2KGS,每箱净重10.2KGS,每箱体积0.014739CBM。如果交易数量为2000只,试分别计算毛、净重、体积? 解:毛重=2000×11.2=22400KGS 净重=2000×10.2=20400KGS 体积=2000×0.014739=29.478CBM 注意:因该类产品销售单位与包装单位相同,故计算时可不考虑单位换算的内容。

simtrade履约流程步骤参考

simtrade履约流程步骤参考 L/C + CIF履约流程 说明:外销合同可以由出口商或进口商起草,国内购销合同也可以由出口商或工厂起草,然后送对方签字确认即可,本表中仅以出口商起草的情况为例。 No 工厂出口商出口地银行进口地银行进口商 . 1. 起草外销合同 2. 添加并填写出口预算表 3. 合同送进口商 4. 添加并填写进口预算 表 5. 签字并确认外销合同 6. 到银行领取并填写"进 口付汇核销单" 7. 添加并填写开证申请 书 8. 发送开证申请 9. 根据申请书填写信 用证 送进口商确认 10 . 对照合同查看信用证11 . 12 同意信用证 . 通知出口地银行 13 . 14审核信用证

. 15 . 填写信用证通知书 16 . 通知出口商 17 . 对照合同审核信用证 18 . 接受信用证 19 . 起草国内购销合同 20 . 合同送工厂 21 . 签字并确认购销合同 22 . 组织生产 23 . 放货给出口商 24 . 到国税局缴税 25 . 添加并填写"货物出运委托书" 26 . 指定船公司 27 . 洽订舱位 28 . 添加并填写"报检单、商业发票、装箱单" 29 . 出口报检 30 . 添加并填写产地证明书 31 . 到相关机构申请产地证 32 . 添加并填写"投保单"

33 . 到保险公司投保 34 . 到外管局申领并填写"核销单" 35 . 到海关办理核销单的口岸备案 36 . 添加并填写"报关单" 37 . 送货到海关 38 . 出口报关,货物自动出运 39 . 到船公司取提单 40 . 添加并填写装船通知"Shipping Advice" 41 . 发送装船通知 42 . 添加并填写"汇票" 43 . 向出口地银行交单押汇 44 . 审单 45 . 发送进口地银行 46 . 到银行办理结汇审单 47 . 添加并填写"出口收汇核 销单送审登记表" 通知进口商取单 48 . 到外管局办理核销到银行付款 49 . 到国税局办理出口退税取回单据 50 . 到船公司换提货单51添加并填写"报检单"

simtrade使用流程

学生实习 履约流程介绍 从签订外销合同,到租船订舱、检验、产地证、保险、送货、报关、装船出口,直至押汇(或托收)、交单、结汇、核销、退税,就是每笔进出口业务必经得过程。SimTrade外贸实习平台模拟了进出口业务中最常用得结算方式(L/C、T/T、D/P或D/A)与贸易术语(FOB、CFR、CIF)。下面以CIF下得L/C方式为例,分别列出SimTrade环境里,出口地银行、出口商、进口商、进口地银行得进出口合同履约过程,便于使用者 理解与实践。 一、推销 进出口商要将产品打进国际市场,必须先开拓市场,寻找合适得交易对象。可以通过寄送业务推广函电(Sale Letter)或在计算机网络、国外杂志、报刊上刊登产品广告来推销自己,同时也可通过参加商展、实地 到国外考察等途径来寻找交易对象,增进贸易机会。 二、询盘 又称为询价。进口商收到出口商得业务推广函电或瞧到广告后,根据自己得需要,对有意进一步洽商得 出口商予以询盘(Inquiry),以期达成交易。 三、发盘 又称为报价。出口商按买主来函要求,先向供货得工厂询盘,然后计算出口报价回函给进口商。这期间可能需要函电多次往返接洽,最后得到关于价格条款得一致意见。 四、签订合同 国外买主与出口商经一番讨价还价后,就各项交易条件达成一致,正式签订外销合同(Contract或 Agreement)。 在SimTrade中,外销合同可以由出口商起草,也可以由进口商起草,注意起草与确认合同时双方都需填 写预算表。 五、领核销单 为保证企业严格按照正常贸易活动得外汇需要来使用外汇,杜绝各种形式得套汇、逃汇、骗汇等违法犯罪行为,我国规定企业对外付汇要通过国家审核,实行进口付汇核销制度。采用信用证结算方式时,进口商须在开证前到外汇指定银行领取《贸易进口付汇核销单(代申报单)》,凭以办理进口付汇手续;其她结算方式 下则在付款前领此单。 六、申请开信用证 进口商填妥付汇核销单后,再开具《不可撤销信用证开证申请书》(Irrevocable Documentary Credit Application),向其有往来得外汇银行申请开立信用证。 七、开信用证 开证银行接受申请并根据申请书开立信用证(Letter of Credit;L/C),经返还进口商确认后,将信用证寄给出口地银行(在出口国称通知银行),请其代为转送给出口商。 八、通知信用证

电子论文-使用Calibre工具实现EB处理

使用Calibre工具实现EB处理 华虹NEC 晏志卿 芯片版图设计完成后,必须将设计数据转换成EB数据以制造掩模版。为了提高芯片的规模和集成度,降低成本,芯片越来越采用更小尺寸的工艺来制造,但更小尺寸的制造工艺同时也必须考虑更多的问题。当前的深亚微米半导体制造工艺对于芯片设计层次(GDSII layer)到掩模版层次(mask layer)的转换规则(EB Processing Rule)日趋复杂。目前几乎所有的芯片代工厂都会将客户的layout数据转换成EB数据。然而GDSII layer到mask layer的转换往往工作量巨大,EB规则复杂时不易实现,增加了流片失败的风险。在应用中,我们发现Mentor Graphics 公司的Calibre工具可以很好的解决这些问题,能够完全依据EB 规则将GDSII layer形式的设计数据转换成mask layer形式的数据。本文将简要介绍如何使用Calibre解决GDSII层次转换问题,实现设计数据到EB数据的自动转换。 为了清楚地描述此项工作,我们以下面一个层次转换为例讲叙使用calibre 实现GDSII层次转换的过程。 1.使用Calibre逻辑运算命令操作GDSII Layer。这项工作可以通过若干个SVRF语 句实现: T011 = X1 OR X25 T012 = T011 OR X30 T013 = T012 OR X31 上式中的设计层次X1,X25,X30,X31做成掩模版后是同一层01,其EB规则是mask layer 01 = X1+X25+X30+X31 2. 将EB处理后的层次输出到指定的Rule上。 NWELL { COPY T013}

simtrade使用流程

s i m t r a d e使用流程-标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

学生实习 履约流程介绍 从签订外销合同,到租船订舱、检验、产地证、保险、送货、报关、装船出口,直至押汇(或托收)、交单、结汇、核销、退税,是每笔进出口业务必经的过程。SimTrade外贸实习平台模拟了进出口业务中最常用的结算方式(L/C、T/T、D/P或D/A)和贸易术语(FOB、CFR、CIF)。下面以CIF下的L/C方式为例,分别列出SimTrade环境里,出口地银行、出口商、进口商、进口地银行的进出口合同履约过程, 便于使用者理解和实践。 一、推销 进出口商要将产品打进国际市场,必须先开拓市场,寻找合适的交易对象。可以通过寄送业务推广函电(Sale Letter)或在计算机网络、国外杂志、报刊上刊登产品广告来推销自己,同时也可通过参加商展、实地到国外考察等途径来寻找交易对象,增进贸易机会。 二、询盘 又称为询价。进口商收到出口商的业务推广函电或看到广告后,根据自己的需要,对有意进一步洽 商的出口商予以询盘(Inquiry),以期达成交易。 三、发盘 又称为报价。出口商按买主来函要求,先向供货的工厂询盘,然后计算出口报价回函给进口商。这期间可能需要函电多次往返接洽,最后得到关于价格条款的一致意见。 四、签订合同 国外买主与出口商经一番讨价还价后,就各项交易条件达成一致,正式签订外销合同(Contract或 Agreement)。 在SimTrade中,外销合同可以由出口商起草,也可以由进口商起草,注意起草与确认合同时双方都 需填写预算表。 五、领核销单 为保证企业严格按照正常贸易活动的外汇需要来使用外汇,杜绝各种形式的套汇、逃汇、骗汇等违法犯罪行为,我国规定企业对外付汇要通过国家审核,实行进口付汇核销制度。采用信用证结算方式时,进口商须在开证前到外汇指定银行领取《贸易进口付汇核销单(代申报单)》,凭以办理进口付汇手续;其 他结算方式下则在付款前领此单。 六、申请开信用证 进口商填妥付汇核销单后,再开具《不可撤销信用证开证申请书》(Irrevocable Documentary Credit Application),向其有往来的外汇银行申请开立信用证。 七、开信用证 开证银行接受申请并根据申请书开立信用证(Letter of Credit;L/C),经返还进口商确认后,将信用证寄给出口地银行(在出口国称通知银行),请其代为转送给出口商。 八、通知信用证

简单提一下calibre-LVS中hcells的应用

在做大屏LCD Driver的chip layout TOP层验证时,因为SRAM和APR部分layout(版图) 太过于复杂,而电脑配置如果有限,calibre LVS运行到一半时就会因为内存不足而中断,无法继续。因此需要用到hcells,他可以简化schematic和layout的层次,有效的提高calibre的性能,同时也会缩短LVS所需要的时间。hcell英文意思是hierarchically corresponding cell,我把它翻译为层次化对应的单元。 在一般情况下,在layout(版图)和source中相对应的hcell列表应该是比较简单的,且这些cell在层次化的结构中出现了很多次。一个详细的hcell列表是没用必要的,往往适得其反。这是因为在Calibre LVS 时层次化的单元会被选择性的打散以提高性能,而密集的单元会影响calibre的性能。在hcell列表中的cells则不会被打平。指定某些像通孔(via)或其它一些小的单元也会阻碍calibre LVS的性能。 在calibre LVS hcells中,layout(版图) cell name和相对应的source cell name可能是一样的,也可能不同。可以指定一对多的关系,即一个layout(版图) cell name对应多个不同的source cell name。当然也可以指定多对一的关系,即一个source cell name对应多个layout(版图) cell name。但是多对多的关系是不被允许的。 下面举个例子说明一下 hcells 文件的格式 //layout source ABC DEF ABC GHI ABC JKL UVW XYZ RST XYZ OPQ XYZ UVW GHI OPQ DEF 一对多的例子:同一layout cell name 对应不同的source cell name //layout source ABC DEF ABC GHI ABC JKL 多对一的例子:不同的layout cell name 对应不同的 source cell name //layout source UVW XYZ RST XYZ OPQ XYZ

Calibre 中文教程

Lab-4.Calibre –DRC與LVS I?目的: 實習六是介紹一個大部分業界所使用的一套佈局驗證的軟體―Calibre(為Mentor公司之產品),Calibre是被世界上大多數的IC設計公司做為sign-off的憑據,適合做大型電路的驗證。 Calibre和Dracula、Diva有許多不同之處。Calibre是一套類似Diva的驗證軟體,但其嚴謹度與考靠性遠優於Diva, 這也是大家為何要使用Dracula的原因,但Dracula的操作不易,且無法做on-line的驗證。但Calibre改進了這些缺點,不但操作簡易,更可搭配Virtuoso或其他layout軟體做線上的 驗證,由於Calibre的已被大多數的公司所採用,因此CIC 也將轉向支援Calibre的技術而漸漸取代Dracula。 本實習的目的是要將前一實習的電路,經過Calibre的 佈局驗證後,以便能將此Layout送去製造。而本實習將延續實習四的Layout為實例,藉此介紹整個Dracula的操作流程。II?DRC(Design Rule Check): 1?建立子目錄、拷貝calibre_035.drc檔及撰寫DRC的主要檔案: 因為作DRC佈局驗證時會造出非常多檔案,因此在此 強烈建議建立一個屬於此Layout作DRC時之新目錄夾,也就是說在你的根目錄下鍵入mkdir 0.35然後再進入 0.35的資料夾內,即鍵入cd0.35,再鍵入mkdir drcnand3,建立一個名為drcnand3的子目錄 。 ..

先進入/avanti/Lab610/avanti/Lab/610/test/lab/drc/目錄下 再利用filemgr &或拷貝指令cp ,將calibre_035.drc 拷貝至你的工作目錄底下。 為配合Layout ,因此在作DRC 驗證時必須利用編輯軟 體(ex Vi 、textedit….),編輯下面的檔案並存為drc_rules 的檔。 2?將Calibre 載入Virtuoso 在CIW 視窗中鍵入Load(“/usr/mentor/Calibre_ss/cur/lib/caliber.skl”) 或在根目錄下建立一個自動執行檔( 請注意大小寫 ) 並存為 .cdsinit Load(“/usr/mentor/Calibre_ss/cur/lib/caliber.skl”)

CALIBRE使用流程

Running Calibre for GUI 在(terminal)command line 執行hpmenu,選擇b 再執行 calibre -gui 可開啟Calibre視窗畫面 DRC:Design Rule check LVS:Layout vs. Schematic PEX:Parasitic Extraction using XCalibre

RVE:Results Viewing Environment 建立目錄 Full_Custom Cadence laker Calibre hspice cdslib techfile cdslib techfile inv 其他 techfile mylib *.tf *.dsp mylib *.tf *.dsp

inv 其他 inv 其他 PS:此目錄結構並不是唯一的,僅供參考 Design Rule Check 檢查佈局設計與製程規則的一致性 基本設計規則包含各層之Width,Spacing及不同層間之Spacing、enclosure等關後 Design rule 的規定是基於process variation Equipment limitation,circuit reliability等之考量 在特殊的設計需求下,Design rule允許部份的彈性。但設計者需掌握Rule violation對電路的影響。 Calibre DRC使用流程圖

Running Calibre – DRC (1/4)

將路徑指向Calibre 之DRC command file ,此檔可到/disk/standard/Full_custom 底下copy 。 以TSMC 0.35 2P4M 為例,檔案為D35M24P5.22b 指定 run Calibre DRC 的目錄,其所產生之相關檔案(Output),將存放在此目錄

SimTrade快速入门

快速入门 说明:本篇快速入门为一套完整的SimTrade实际业务操作,交易方式为L/C + CIF,由于不同交易方式下贸易流程不尽相同,本例中的数据资料(加横线部份)仅供参考,请依具体情况来完成实际操作。 (一) 交易准备阶段 1 学生以出口商角色登录,输入用户名(如xyz),在"选择用户类型"下拉框中选择"出口商",点"登录系统",进入出口商业务主页面; 2 创建公司。点"资料",可查看公司注册资金、帐号、单位代码、邮件地址等信息,还可以修改登陆密码,其它资料逐项填写如下: 公司全称(中文):宏昌国际股份有限公司 公司全称(英文):GRAND WESTERN FOODS CORP. 公司简称(中文):宏昌

公司简称(英文):GRAND 企业法人(中文):刘铭华 企业法人(英文):Minghua Liu 电话:86-25-23501213 传真:86-25-23500638 邮政编码:210005 网址:https://www.wendangku.net/doc/f212947023.html, 公司地址(中文):南京市北京西路嘉发大厦2501室 公司地址(英文):Room2501,Jiafa Mansion, Beijing West road, Nanjing 210005, P.R.China 公司介绍:我们是一家专营食品的公司,长期以来致力于提高产品质量,信誉卓著,欢迎来函与我公司洽谈业务! 可自由添加图片 注意事项:最好使用GIF或JPG格式的图片,尺寸建议在120*120(像素)左右。 填写完毕后,点"确定"; 3 以同样方法登陆其他四个角色(进口商、工厂、出口地及进口地银行),分别创建基本资料。 (1)进口商资料如: 公司全称:Carters Trading Company, LLC 公司简称:Carters 企业法人:Carter 电话:0016137893503 传真:0016137895107 网址:https://www.wendangku.net/doc/f212947023.html, 公司地址(注意应根据所属国家来填写):P.O.Box8935,New Terminal, Lata. Vista, Ottawa, Canada 公司介绍:We are importers in all items enjoying good reputation! (2)工厂资料如: 公司全称:冠驰股份有限公司 公司简称:冠驰 企业法人:张弛 电话:86-25-29072727 传真:86-25-29072626 邮政编码:210016 网址:https://www.wendangku.net/doc/f212947023.html, 公司地址:南京市中正路651号3楼 公司介绍:我公司为信誉卓著的厂商,产品深受客户喜爱,欢迎与我公司洽谈业务,我们