文档库 最新最全的文档下载
当前位置:文档库 › 计算机组成原理_作业参考答案(1)

计算机组成原理_作业参考答案(1)

计算机组成原理_作业参考答案(1)
计算机组成原理_作业参考答案(1)

第1章计算机系统概论

5. ?诺依曼计算机的特点是什么?

解:?诺依曼计算机的特点是:P8

(1)计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成;

(2)指令和数据以同同等地位存放于存储器,并可以按地址访问;

(3)指令和数据均用二进制表示;

(4)指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码

用来表示操作数在存储器中的位置;

(5)指令在存储器中顺序存放,通常自动顺序取出执行;

(6)机器以运算器为中心(原始?诺依曼机)。

7. 解释下列概念:主机、CPU、主存、存储单元、存储元件、存储基元、存储元、存储字、存储字长、存储容量、机器字长、指令字长。

解:课本P9-10

(1)主机:是计算机硬件的主体部分,由CPU和主存储器MM合成为主机。

(2)CPU:中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早

期的运算器和控制器不在同一芯片上,现在的CPU除含有运算器和控制器外还集成了Cache)。

(3)主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作

存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成。

(4)存储单元:可存放一个机器字并具有特定存储地址的存储单位。

(5)存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,

又叫存储基元或存储元,不能单独存取。

(6)存储字:一个存储单元所存二进制代码的逻辑单位。

(7)存储字长:一个存储单元所存储的二进制代码的总位数。

(8)存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。

(9)机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器

位数有关。

(10)指令字长:机器指令中二进制代码的总位数。

8. 解释下列英文缩写的中文含义:CPU、PC、IR、CU、ALU、ACC、MQ、X、

MAR、MDR、I/O、MIPS、CPI、FLOPS

解:全面的回答应分英文全称、中文名、功能三部分。

(1)CPU:Central Processing Unit,中央处理机(器),是计算机硬件的核心部件,

主要由运算器和控制器组成。

(2)PC:Program Counter,程序计数器,其功能是存放当前欲执行指令的地址,

并可自动计数形成下一条指令地址。

(3)IR:Instruction Register,指令寄存器,其功能是存放当前正在执行的指令。

(4)CU:Control Unit,控制单元(部件),为控制器的核心部件,其功能是产生

微操作命令序列。

(5)ALU:Arithmetic Logic Unit,算术逻辑运算单元,为运算器的核心部件,其

功能是进行算术、逻辑运算。

(6)ACC:Accumulator,累加器,是运算器中既能存放运算前的操作数,又能存

放运算结果的寄存器。

(7)MQ:Multiplier-Quotient Register,乘商寄存器,乘法运算时存放乘数、除法

时存放商的寄存器。

(8)X:此字母没有专指的缩写含义,可以用作任一部件名,在此表示操作数寄

存器,即运算器中工作寄存器之一,用来存放操作数;

(9)MAR:Memory Address Register,存储器地址寄存器,在主存中用来存放欲

访问的存储单元的地址。

(10)M DR:Memory Data Register,存储器数据缓冲寄存器,在主存中用来存放

从某单元读出、或要写入某存储单元的数据。

(11)I/O:Input/Output equipment,输入/输出设备,为输入设备和输出设备的总称,

用于计算机部和外界信息的转换与传送。

(12)M IPS:Million Instruction Per Second,每秒执行百万条指令数,为计算机运

算速度指标的一种计量单位。

补充题. 什么是摩尔定律?该定律是否永远生效?为什么?

答:P23,否,P36

第四章存储器(海明码)

17. 写出1100、1110对应的汉明码。

解:有效信息为n=4位,假设有效信息用b4b3b2b1表示,

根据2k≥n+k+1,得校验位位数k=3位。

设校验位分别为C1、C2、C3,则汉明码共4+3=7位,即:C1C2b4C3b3b2b1。

校验位在汉明码中分别处于第1、2、4位,按照配偶原有:

C1=3⊕5⊕7=b4⊕b3⊕b1(公式一定要有)

C2=3⊕6⊕7=b4⊕b2⊕b1

C3=5⊕6⊕7=b3⊕b2⊕b1

当有效信息为1100时,C3C2C1=110,汉明码为0111100。

当有效信息为1110时,C3C2C1=000,汉明码为0010110。

18. 已知收到的汉明码(按配偶原则配置)为1100111、1100001,检查上述代码是否出错?第几位出错?

解:假设接收到的汉明码为:C1’C2’b4’C3’b3’b2’b1’

纠错过程如下:

P1=1⊕3⊕5⊕7=C1’⊕b4’⊕b3’⊕b1’(公式一定要有)

P2=2⊕3⊕6⊕7=C2’⊕b4’⊕b2’⊕b1’

P3=4⊕5⊕6⊕7=C3’⊕b3’⊕b2’⊕b1’

如果收到的汉明码为1100111,则P3P2P1=111,说明代码有错,第7位(b1’)出错,有效信息为:0110

如果收到的汉明码为1100001,则P3P2P1=100,说明代码有错,第4位(C3’)出错,有效信息为:0001

19. 已经接收到下列汉明码,分别写出它们所对应的欲传送代码。

(3)1101001(按偶性配置)

(6)1110001(按奇性配置)

解:(一)假设接收到的汉明码为C1’C2’b4’C3’b3’b2’b1’,按偶性配置则:P1=C1’⊕b4’⊕b3’⊕b1’(公式一定要有)

P2=C2’⊕b4’⊕b2’⊕b1’

P3=C3’⊕b3’⊕b2’⊕b1’

(3)如接收到的汉明码为1101001,

P1=1⊕0⊕0⊕1=0

P2=1⊕0⊕0⊕1=0

P3=1⊕0⊕0⊕1=0

P3P2P1=000,传送无错,故欲传送的信息为0001。

(二)假设接收到的汉明码为C1’C2’b4’C3’b3’b2’b1’,按奇性配置则:C1'

=

P1(公式一定要有,一定要注意按奇配置,异或后再取非)⊕

b3

b1'

b4

⊕'

⊕'

C2'

=

P2

b4'

b1'

b2'

=

P3

b3'

C3'

b1'

b2'

(6)如接收到的汉明码为1110001,

b4

⊕'

b3

P1=

=

=

C1'

⊕'

1

b1'

1

1

b4'

b2'

P2=

=

=

C2'

1

b1'

1

1

b3'

b2'

C3'

b1'

P3=

=

1

=

P3P2P1=000,传送无错,故欲传送的信息为1001。

第6章计算机的运算方法

3. 设x为整数,[x]补=1,x1x2x3x4x5,若要求x < -16,试问x1~x5 应取何值?解:根据正数(或负数)的补码数值位(除符号位)越小,则对应真值越小(根据课本P225表6-1可得出上述规律)。

因为[-16]

=1,10000,若要x < -16,只需x1x2x3x4x5< 10000,即x1=0,x2~x5任意即可。

4. 设机器数字长为8位(含1位符号位在)(好多同学都没留意这个前提条件),写出对应下列各真值的原码、补码和反码。-13/64,100

解:真值与不同机器码对应关系如下:

5. 已知[x]补,求[x]原和x。[x5]补=1,0101;[x7]补=0,0111(注意正数的原、反、补码都相同)

解:[x]

补与[x]

、x的对应关系如下:

9. 当十六进制数9B和FF分别表示为原码、补码、反码、移码和无符号数时,所对应的十进制数各为多少(设机器数采用一位符号位)?

解:真值和机器数的对应关系如下:

10. 在整数定点机中,设机器数采用1位符号位,写出±0的原码、补码、反码和

移码,得出什么结论?

解:0的机器数形式如下:(假定机器数共8位,含1位符号位在)

结论:0的原码和反码分别有+0和-0两种形式,补码和移码只有一种形式,且补码和移码数值位相同,符号位相反。

11. 已知机器数字长为4位(含1位符号位),写出整数定点机和小数定点机中原码、补码和反码的全部形式,并注明其对应的十进制真值。(补码比原码和反码多表示一个最小的负数,整数形式为1,000,而小数形式为1.000,此处的“1”有两层含义,一代表负数,二代表该位的权重为23或21)

12. 设浮点数格式为:阶码5位(含1位阶符),尾数11位(含1位数符)。写出51/128、7.375所对应的机器数。要求如下:

(1)阶码和尾数均为原码。

(2)阶码和尾数均为补码。

(3)阶码为移码,尾数为补码。

解:据题意画出该浮点数的格式:

将十进制数转换为二进制:

x1= 51/128= 0.0110011B= 2-1× 0.110 011B

x3=7.375=111.011B=23×0.111011B

则以上各数的浮点规格化数为:

(1)[x1]浮=1,0001;0.110 011 000 0

[x3]浮=0,0011;0.111 011 000 0

(2)[x1]浮=1,1111;0.110 011 000 0

[x3]浮=0,0011;0.111 011 000 0

(3)[x1]浮=0,1111;0.110 011 000 0

[x3]浮=1,0011;0.111 011 000 0

14. 设浮点数字长为32位,欲表示±6万间的十进制数,在保证数的最大精度条件下,除阶符、数符各取1位外,阶码和尾数各取几位?按这样分配,该浮点数溢出的条件是什么?

解:若要保证数的最大精度,应取阶码的基值=2。

若要表示±6万间的十进制数,由于32768(215)< 6万<65536(216),则:阶码除阶符外还应取5位(向上取2的幂)。

故:尾数位数=32-1-1-5=25位,则该浮点数格式如下:

按此格式,该浮点数上溢的条件为:阶码≥25

16.设机器数字长为16位,写出下列各种情况下它能表示的数的围。设机器数采用一位符号位,答案均用十进制表示。(1)无符号数;

(2)原码表示的定点小数。

(3)补码表示的定点小数。

(4)补码表示的定点整数。

(5)原码表示的定点整数。

(6)浮点数的格式为:阶码6位(含1位阶符),尾数10位(含1位数符)。分别写出其正数和负数的表示围。(浮点数的表示围可能有一定难度,在学习时若理解不了,可放弃)

(7)浮点数格式同(6),机器数采用补码规格化形式,分别写出其对应的正数和负数的真值围。(同上)

解:(1)无符号整数:0 ~ 216 - 1,即:0~ 65535;

无符号小数:0 ~ 1 - 2-16,即:0 ~ 0.99998;

(2)原码定点小数:-1 + 2-15~1 - 2-15,即:-0.99997~0.99997

(3)补码定点小数:- 1~1 - 2-15,即:-1~0.99997

(4)补码定点整数:-215~215 - 1 ,即:-32768~32767

(5)原码定点整数:-215 + 1~215 - 1,即:-32767~32767

(6)据题意画出该浮点数格式,当阶码和尾数均采用原码,非规格化数表示时:最大负数= 1,11 111;1.000 000 001 ,即-2-9?2-31

最小负数= 0,11 111;1.111 111 111,即-(1-2-9)?231

则负数表示围为:-(1-2-9)?231 ~ -2-9?2-31

最大正数= 0,11 111;0.111 111 111,即(1-2-9)?231

最小正数= 1,11 111;0.000 000 001,即2-9?2-31

则正数表示围为:2-9?2-31 ~(1-2-9)?231

(7)当机器数采用补码规格化形式时,若不考虑隐藏位,则

最大负数=1,00 000;1.011 111 111,即-(2-1+2-9)?2-32

最小负数=0,11 111;1.000 000 000,即-1?231

则负数表示围为:-1?231 ~ -(2-1+2-9)?2-32

最大正数=0,11 111;0.111 111 111,即(1-2-9)?231

最小正数=1,00 000;0.100 000 000,即2-1?2-32

则正数表示围为:2-1?2-32 ~(1-2-9)?231

17.设机器数字长为8位(包括一位符号位),对下列各机器数:

[x3]原=1.001 1001;[y3]补=1.001 1001;[z3]反=1.001 1001

进行算术左移一位、两位,算术右移一位、两位,讨论结果是否正确。(算术移位的首要原则就是符号位必须保持不变,好多同学移位后符号位变了(⊙﹏⊙))解:

19. 设机器数字长为8位(含2位符号位)(布置作业时专门强调要采用双符号位,并判断溢出,真正按要求的同学占极少数),用补码运算规则计算下列各题。(1)A=9/64,B=-13/32,求A+B。

(4)A=-87,B=53,求A-B。

解:(一定要用移位的办法进行分数到二进制的转换,不要用除以2的方法,容易犯错)

(1)A=9/64= 0.001 0010B,B= -13/32= -0.011 0100B

[A]补=00.001 0010(小数不足8位,原码低位补0,凑齐8位)

[B]补=11.100 1100

[A+B]补= 00.0010010

+ 11.1001100

= 11.1011110(此处一定要用竖式计算)

因为结果符号位相同,所以没发生溢出。(一定要交代是否发生溢出,若无溢出,则后面需将结果转换为真值)

则A+B= -0.010 0010B = -17/64

(4)A= -87= -101 0111B, B=53=110 101B

[A]补=11,010 1001,

[B]补=00,011 0101(整数不足8位,原码高位补0,凑齐8位),

[-B]补=11,100 1011

[A-B]补= 11,0101001

+11,1001011

=110,1110100

向高位的进位1自然丢掉,结果的双符号位不同,故发生溢出,且为负溢出。(一定要交代是否发生溢出,如果发生溢出,则无需再将结果转为真值,因为此时结果是错的)

26.按机器补码浮点运算步骤,计算[x±y]补.

(1)x=2-011× 0.101 100,y=2-010×(-0.011 100);

解:由题意可得:

[x]补=11,101;00.101 100, [y]补=11,110;11.100 100

1)对阶:

[?E]补=[Ex]补+[-Ey]补= 11,101+ 00,010=11,111 < 0,即?E=-1

故Ex应向Ey对齐,则x的尾数向右移一位,阶码加1,即:

[x]’补=11,110;00.010 110

2)尾数相加:

[Mx]补+[My]补= 00.010 110 + 11.100 100=11.111010

则[x+y]

=11,110;11.111010 尾数出现“11.111××”,需要左规。

[Mx]补+[-My]补=00.010 110 + 00.011100=00.110 010

=11,110;00.110 010,尾数无需规格化。

则[x-y]

3)规格化:

=11,011;11.010 000

左规3此后后,[x+y]

4)舍入:无

5)溢出:无

所以,x+y=2-101×(-0.110 000),x-y =2-010×0.110 010

计算机组成原理考试题库

计算机原理考试题库 一、选择题 1、电子计算机的算术/逻辑单元、控制单元及主存储器合称为C。 A、CPU B、ALU C、主机 D、UP 2、用以指定待执行指令所在地址的是C。 A、指令寄存器 B、数据计数器 C、程序计数器 D、累加器 3、完整的计算机系统应包括D。 A、运算器、存储器、控制器 B、外部设备和主机 C、主机和实用程序 D、配套的硬件设备和软件系统 4、计算机存储数据的基本单位为A。 A、比特Bit B、字节Byte C、字组Word D、以上都不对 5、计算机中有关ALU的描述,D是正确的。 A、只做算术运算,不做逻辑运算 B、只做加法 C、能存放运算结果 D、以上答案都不对 6、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 7、下列语句中是C正确的。 A、1KB=1024 1024B B、1KB=1024MB C、1MB=1024 1024B D、1MB=1024B 8、用以指定待执行指令所在地址的是C。 A、指令寄存器 B、数据计数器 C、程序计数器 D、累加器 9、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 10、电子计算机的算术/逻辑单元、控制单元及主存储器合称为C。 A、CPU B、ALU C、主机 D、UP 11、计算机中有关ALU的描述,D是正确的。 A、只做算术运算,不做逻辑运算 B、只做加法 C、能存放运算结果 D、以上答案都不对 12、下列D属于应用软件。 A、操作系统 B、编译程序 C、连接程序 D、文本处理 13、下列语句中是C正确的。 A、1KB=1024 1024B B、1KB=1024MB C、1MB=1024 1024B D、1MB=1024B 14、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 15、下列D属于应用软件。 A、操作系统 B、编译程序 C、连接程序 D、文本处理 16、存放欲执行指令的寄存器是D。 A、MAE B、PC C、MDR D、IR 17、用以指定待执行指令所在地址的是C。

第一次作业参考答案

第一次作业参考答案 1、、电能生产的主要特点有哪些? 答:电能生产的主要特点可以归纳为以下三点。①电能生产的连续性特点;由于电能不能大量储存,电能的生产、输送和消费是同时完成的。②电能生产瞬时性的特点;这是因为电能的传输速度非常快(接近光速),电力系统中任何一点发生故障都马上影响到整个电力系统。③电能生产重要性的特点;电能清洁卫生、易于转换、便于实现自动控制,因此国民经济各部门绝大多数以电能作为能源,而电能又不能储存,所以电能供应的中断或减少将对国名经济产生重大影响。 2、对电力系统运行的基本要求是什么? 答:对电力系统运行的基本要求有:①保证对用户的供电可靠性;②电能质量要好;③电力系统运行经济性要好;④对环境的不良影响要小。 3、电力系统中负荷的分类(I、II、III类负荷)是根据什么原则进行的?各类负荷对供电可靠性的要求是什么? 答:电力系统中负荷的分类是根据用户的重要程度和供电中断或减少对用户所造成的危害的大小来划分的,凡供电中断将导致设备损坏、人员伤亡、产品报废、社会秩序还乱、政治影响大的用户的用电设备称为I类负荷;凡供电中断或减少将导致产品产量下降、人民生活受到影响的用户的用电设备称为II类负荷;I类、II类负荷以外的负荷称为III类负荷。 I类负荷对供电可靠性的要求是任何情况下不得中断供电; II类负荷对供电可靠性的要求是尽可能不中断供电; III类负荷可以停电。 4、标出下图所示电力系统中发电机、变压器的额定电压。(图中已标出线路的额定电压)

答:上述电力系统中发电机、变压器的额定电压如下: G :10.5KV ;T1:10.5/242KV ;T2:220/121/38.5KV ;T3:35/6.3KV 5、为什么110KV 及以上的架空输电线路需要全线架设避雷线而35KV 及以下架空输电线路不需全线架设避雷线? 答:因为110KV 及以上系统采用中性点直接接地的中性点运行方式,这种运行方式的优点是:正常运行情况下各相对地电压为相电压,系统发生单相接地短路故障时,非故障相对地电压仍为相电压,电气设备和输电线路的对地绝缘只要按承受相电压考虑,从而降低电气设备和输电线路的绝缘费用,提高电力系统运行的经济性;缺点是发生单相接地短路时需要切除故障线路,供电可靠性差。考虑到输电线路的单相接地绝大部分是由于雷击输电线路引起,全线路架设避雷线,就是为了减少雷击输电线路造成单相接地短路故障的机会,提高220KV 电力系统的供电可靠性。 35KV 及以下系统采用中性点不接地或经消弧线圈接地的中性点运行方式,即使雷击输电 线路造成单相接地时,电力系统也可以继续运行,供电可靠性高,所以无需全线架设避雷线。 6、在下图所示的电力系统中已知KV U 3/10=φ,A U C 3530=φω,如要把单相接地时流过接地点的电流补偿到20A ,请计算所需消弧线圈的电感系数。 解: 单相接地故障时的相量图如下:

计算机组成原理模拟试题

计算机组成原理 1.(45.75)10=(___________)16 2.若[X]补=1.0110,则[1/2X]补=___________。 3.若X补=1.1001,按舍入恒置1法舍去末位得__________。 4.运算器的核心部件是__________。 5.动态MOS存储器的刷新周期安排方式有____________、 _____________、_____________。 6.若地址码8位,按字节编址则访存空间可达___________,若地址码10位,则访存空间可达_____________,若地址码20位,则访存空间可达_____________。 7.CPU中用于控制的寄存器有_______________________、 __________________ 和_____________________三种;8.控制器的组成方式可分为______________________和微程序控制器两类。 9.按数据传送方式,外围接口可分为_________________和 __________________。 10.指令中的操作数一般可分为_______操作数和_______操作数。11.申请掌握使用总线的设备,被称为__________。 12.某CRT显示器,分辨率800列╳600行,如果工作在256色模式下,则至少需要_________字节的显示存储器。 选择题: 1、浮点加减中的对阶是() A.将较小的一个阶码调整到与较大的一个阶码相同 B.将较大的一个阶码调整到与较小的一个阶码相同 C.将被加数的阶码调整到与加数的阶码相同 D.将加数的阶码调整到与被加数的阶码相同 2、下列哪一个属于检错纠码() A. BCD码 B. ASCII码 C. 奇偶校验码 D. 8421码 3、指令格式可表示为()和地址码的形态 A.指令码 B. 操作码 C.微指令 D. 寄存器码 4、在不同速度的设备之间传送数据( )

计算机组成原理试题及答案

A .(7CD )16 B. ( 7D0)16 C. (7E0)16 D. 3. 下列数中最大的数是 _______ 。 A .(10011001) 2 B. (227) 8 C. (98)16 4. ____ 表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 在小型或微型计算机里,普遍采用的字符编码是 A. BCD 码 B. 16 进制 C. 格雷码 6. 下列有关运算器的描述中, ______ 是正确的 A. 只做算术运算,不做逻辑运算 B. C. 能暂时存放运算结果 D. 7. EPROM 是指 ____ 。 A. 读写存储器 B. C. 可编程的只读存储器 D. 8. Intel80486 是 32位微处理器, Pentium 是A.16 B.32 C.48 D.64 9 .设]X ]补=1.XXX 3X 4,当满足 _________ ■寸,X > -1/2 成立。 A. X 1必须为1,X 2X 3X 4至少有一个为1 B. X 1必须为1 , X 2X 3X 4任意 C. X 1必须为0, X 2X 3X 4至少有一个为1 D. X 1必须为0, X 2X 3X 4任意 10. CPU 主要包括 _____ 。 A.控制器 B. 控制器、运算器、cache C.运算器和主存 D.控制器、ALU 和主存 11. 信息只用一条传输线 ,且采用脉冲传输的方式称为 _________ 。 A. 串行传输 B. 并行传输 C. 并串行传输 D. 分时传输 12. 以下四种类型指令中,执行时间最长的是 _________ 。 A. RR 型 B. RS 型 C. SS 型 D. 程序控制指令 13. 下列 _____ 属于应用软件。 A. 操作系统 B. 编译系统 C. 连接程序 D. 文本处理 14. 在主存和CPU 之间增加cache 存储器的目的是 _____ 。 A. 增加内存容量 B. 提高内存可靠性 C.解决CPU 和主存之间的速度匹配问题 D. 增加内存容量,同时加快存取速 度 15. 某单片机的系统程序,不允许用户在执行时改变,则可以选用 ____________ 作为存储芯 片。 A. SRAM B. 闪速存储器 C. cache D. 辅助存储器 16. 设变址寄存器为X ,形式地址为D, (X )表示寄存器X 的内容,这种寻址方式的有 效地址为 ______ 。 A. EA=(X)+D B. EA=(X)+(D) C.EA=((X)+D) D. EA=((X)+(D)) 17. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为 ___________ 。 A. 隐含寻址 B. 立即寻址 C. 寄存器寻址 D. 直接寻址 18. 下述 I/O 控制方式中,主要由程序实现的是 ________ 。 7F0)16 D. ( 152)10 o D. ASC H 码 只做加法 既做算术运算,又做逻辑运算 只读存储器 光擦除可编程的只读存储器 位微处理器。

数值分析第一次作业及参考答案

数值计算方法第一次作业及参考答案 1. 已测得函数()y f x =的三对数据:(0,1),(-1,5),(2,-1), (1)用Lagrange 插值求二次插值多项式。(2)构造差商表。(3)用Newton 插值求二次插值多项式。 解:(1)Lagrange 插值基函数为 0(1)(2)1 ()(1)(2)(01)(02)2 x x l x x x +-= =-+-+- 同理 1211 ()(2),()(1)36 l x x x l x x x = -=+ 故 2 20 2151 ()()(1)(2)(2)(1) 23631 i i i p x y l x x x x x x x x x =-==-+-+-++=-+∑ (2)令0120,1,2x x x ==-=,则一阶差商、二阶差商为 011215 5(1) [,]4, [,]20(1) 12 f x x f x x ---= =-= =----- 0124(2) [,,]102 f x x x ---= =- 实际演算中可列一张差商表: (3)用对角线上的数据写出插值多项式 2 2()1(4)(0)1*(0)(1)31P x x x x x x =+--+-+=-+ 2. 在44x -≤≤上给出()x f x e =的等距节点函数表,若用二次插值求x e 的近似值,要使 截断误差不超过6 10-,问使用函数表的步长h 应取多少 解: ()40000(), (),[4,4],,,, 1.x k x f x e f x e e x x h x x h x x th t ==≤∈--+=+≤考察点及

(3) 2000 4 43 4 3 () ()[(()]()[()] 3! (1)(1) (1)(1) 3!3! .(4,4). 6 f R x x x h x x x x h t t t e t h th t h e h e ξ ξ =----+ -+ ≤+??-= ≤∈- 则 4 36 ((1)(1) 100.006. t t t h - -+± << Q在点 得 3.求2 () f x x =在[a,b]上的分段线性插值函数() h I x,并估计误差。 解: 22 22 11 1 111 22 11 11 1 () () k k k k h k k k k k k k k k k k k k k k k k k x x x x x x I x x x x x x x x x x x x x x x x x x x x x ++ + +++ ++ ++ + --- =+= --- ?-? -=+- - [] 2 11 22 11 ()()()[()] 11 ()() 44 h h k k k k k k k k R x f x I x x x x x x x x x x x x x h ++ ++ =-=-+- =--≤-= 4.已知单调连续函数() y f x =的如下数据 用插值法计算x约为多少时() 1. f x=(小数点后至少保留4位) 解:作辅助函数()()1, g x f x =-则问题转化为x为多少时,()0. g x=此时可作新 的关于() i g x的函数表。由() f x单调连续知() g x也单调连续,因此可对() g x的数值进行反插。的牛顿型插值多项式为 1()0.110.097345( 2.23)0.451565( 2.23)( 1.10) 0.255894( 2.23)( 1.10)(0.17) x g y y y y y y y - ==-+++++ -++-

《计算机文化基础》第一次作业答案

一、单项选择题。本大题共50个小题,每小题分,共分。在每小题给出的选项中,只有一项是符合题目要求的。 1.第一台电子计算机是1946年在美国研制成功的,该机的英文缩写名是______。 A.ENIAC? B.EDVAC C.EDSAC D.MARK 2.二进制数相对应的十进制数应是______。 A.123 B.167 C.179 D.177? 3.具有多媒体功能的微型计算机系统,通常都配有CD-ROM,这是一种______。 A.只读内存储器 B.只读大容量软盘 C.只读硬盘存储器 D.只读光盘存储器? 4.计算机内部用于处理数据和指令的编码是______。 A.十进制码 B.二进制码? C.ASCII码 D.汉字编码 5.计算机的硬件系统由五大部分组成,下列各项中不属于这五大部分的是______。 A.运算器 B.软件? C.I/O设备 D.控制器 6.计算机软件分为系统软件和应用软件两大类,下列各项中不属于系统软件的是 ______。 A.操作系统 B.办公软件? C.数据库管理系统 D.系统支持和服务程序 7.计算机断电后,会使存储的数据丢失的存储器是______。 A.RAM? B.硬盘 C.ROM D.软盘 8.保持微型计算机正常运行必不可少的输入/输出设备是______。 A.键盘和鼠标 B.显示器和打印机 C.键盘和显示器?

D.鼠标和扫描仪 9.在微型计算机中,微处理器芯片上集成的是______。 A.控制器和运算器? B.控制器和存储器 C.CPU和控制器 D.运算器和I/O接口 10.自计算机问世至今已经经历了四个时代,划分时代的主要依据是计算机的______。 A.规模 B.功能 C.性能 D.构成元件? 11.计算机系统包括硬件系统和软件系统。关于二者之间的关系正确的说法是______。 A.两个系统必须互相适合﹑配套? B.硬件是首要的,软件是次要的 C.软件是首要的,硬件是次要的 D.只要有了硬件,软件可有可无 12.下列选项中,不属于计算机多媒体功能的是______。 A.编辑﹑播放视频 B.播放VCD C.自动扫描? D.编辑﹑播放音乐 13.以下应用领域中,属于典型的多媒体应用的是______。 A.科学计算 B.网上购物 C.音视频会议系统? D.网络远端控制 14.把一台普通的计算机变成多媒体计算机,要解决的关键技术不包括______。 A.多媒体数据压缩编码技术 B.多媒体数据压缩解码技术 C.网络包分发技术? D.视频音频数据的输出技术 15.多媒体技术的典型应用包括______。 A.计算机辅助教学(CAI) B.娱乐和游戏 C.视频会议系统 D.以上都是? 16.下列选项中,对多媒体技术正确的描述是______。 A.能够同时获取、处理、编辑、存储和展示两个以上不同类型信息媒体的技术? B.只能够展示两个以上不同类型信息媒体的技术 C.能够获取、处理、编辑、存储和展示一种类型信息媒体的技术 D.只能够分别处理、编辑一种类型信息媒体的技术 17.要提高计算机的运行速度,应在360安全卫士中运行_____。 A.木马查杀 B.清理插件?

计算机组成原理试题库(含答案)

计算机组成原理试题 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干前面的括号内。) 1.为了缩短指令中某个地址段的位数,有效的方法是采取(C)。 A、立即寻址 B、变址寻址 C、间接寻址 D、寄存器寻址 2.某计算机字长是16位它的存储容量是64KB,按字编址,它们寻址范围是(C)。 A.64K B.32KB C.32K D.16KB 3.某一RAM芯片其容量为512*8位,除电源和接地端外该芯片引线的最少数目是(C)。 A.21 B.17 C.19 D.20 4.指令系统中采用不同寻址方式的目的主要是(C)。 A.实现存储程序和程序控制 B.可以直接访问外存 C.缩短指令长度,扩大寻址空间,提高编程灵活性 D.提供扩展操作码的可能并降低指令译码难度

5.寄存器间接寻址方式中,操作数处在(B)。 A.通用寄存器 B.贮存单元 C.程序计数器 D.堆栈 6.RISC是(A)的简称。 A.精简指令系统计算机 B.大规模集成电路 C.复杂指令计算机 D.超大规模集成电路 7.CPU响应中断的时间是_C_____。 A.中断源提出请求;B.取指周期结束;C.执行周期结束;D.间址周期结束。8.常用的虚拟存储器寻址系统由____A__两级存储器组成。 A.主存-辅存;B.Cache-主存;C.Cache-辅存;D.主存—硬盘。 9.DMA访问主存时,让CPU处于等待状态,等DMA的一批数据访问结束后,CPU再恢复工作,这种情况称作__A____。 A.停止CPU访问主存;B.周期挪用;C.DMA与CPU交替访问;D.DMA。10.浮点数的表示范围和精度取决于__C____。 A.阶码的位数和尾数的机器数形式;B.阶码的机器数形式和尾数的位数;

人力资源开发与管理-第一次作业及答案

《人力资源开发与管理》第一次作业答案 一、单项选择题。本大题共10个小题,每小题2.0 分,共20.0分。在每小题给出的选项中,只有一项是符合题目要求的。 1.与员工同甘共苦、同舟共济,反映了以下人本管理的哪方面基本内容() A.人的管理第一 B.以激励为主要方式 C.积极开发人力资源 D.培育和发挥团队精神 2.假设你是一个大公司的中层管理人员,如果你获得提升,在以下几种选择继任者的标 准中,你会优先考虑哪一条() A.是否具有较高的学历与较强的业务能力 B.能否得到部门成员及上级领导的普遍认同 C.能否保持你原先形成的管理风格 D.是否具备创新开拓能力 3.刚进公司的几个大学生很自然地形成了一个团队,大家兄弟相待,一起解决各自遇到 的难题,包括各自负责的经营工作。几年下来,这个团队的凝聚力很强,每个人都非常珍视这个团队。又过几年,这个团队的成员普遍得到较好的发展,但地位、收入等方面并没有形成多大的差距,然而大家却都感到团队的凝聚力没有以前那么强大了。 你认为造成松散的原因是什么() A.团队成员的能力增强了,独立性提高了 B.没有更高层次的目标推动 C.团队成员之间因工作繁忙而沟通太少 D.没有及时吸收新的团队成员 4.某保险公司X市分公司为开发一项新业务,从不同部门抽调若干员工组建了一个项目 团队,为激励他们高度热情地投身于新工作,你认为选择哪一种沟通媒介最合适() A.电子邮件

B.电话 C.面谈 D.简报 5.你是一家连锁快餐集团属下的一个分店经理,集团公司为你确定了今年上半年的经营 目标:从今年1月1日到6月30日之间,将销售额相对去年同期提高6%。你认为() A.该目标已经给分店经理一个明确无误的指令,是一个可考核的执行性目标。 B.该目标没有提出一个度量目标是否完成的客观标准,所以需要进一步改进。 C.该目标没有平衡利润与销售增长之间的关系,可能给分店经理以误导,需要改 进。 D.该目标没有规定清楚如何达成目标的步骤、措施和资源配置,需要进一步改进。 6.失业保险所属的员工福利类型是() A.企业福利 B.法定福利 C.生活福利 D.有偿假期 7.张莉今年26岁,是某电脑公司市场开发部经理,思路敏锐,干劲十足,不久前刚获 得某名牌大学硕士学位,目前工资待遇相当高。假如你是张莉的领导,你认为以下哪一种激励方式最能增进她的工作绩效()? A.采取以个人工作绩效为考核依据的奖励制度 B.减少对她的监督,使她有更多的决策和行动自由。 C.对她的成绩给予公开表扬。 D.提高她地位的象征(例如,更豪华的办公室,新的头衔,专用秘书等)。 8.一般员工提出辞职时,组织应该() A.为员工解决困难把他争取回来

第1次作业答案

习题3.推广本章第一节给出的产生线段的整数Bresenham算法,去掉0<=m<=1和x10,则下一个像素点取P2(x p+1,y p+1);若d<0,则下一个像素点取P1(x p+1, y p);若d=0,则下一个像素点可取这两个像素点中任意一个。为了简便d的符号的计算,可引入一个新的判别量p p: p p = Δxd = Δx(d1– d2) = 2Δy·x p– 2Δx·y p + c 其中,Δx = x2– x1,Δy = y2– y1,c = 2·Δy +Δx(2b – 1)。因为这里Δx>0,故p p与d 同号,可以作为判别量。下面看如何从p p计算p p+1。将上式中的p换成p+1,有:p p+1 = 2Δy·x p+1– 2Δx·y p+1 + c 因为x p+1 = x p + 1,可知: p p+1– p p = 2Δy – 2Δx (y p+1– y p) 当p<0时,取P1(x p+1, y p),此时y p+1 = y p,所以p p+1 = p p + 2Δy;否则,取P2(x p+1,y p+1),此时y p+1 = y p + 1,所以p p+1 = p p + 2(Δy –Δx)。此时还需要看判别量p1的初始值,因为线段上第一个像素点可以取起点(x1, y1),所以有: p1 = 2Δy·x1 - 2Δx·y1 + 2·Δy +Δx(2b – 1)

中国通史(1)第一次形成性作业及答案

中国通史(1)第一次形成性作业答案 一、选择题(每题1分,共20分) 1、北京猿人的婚姻形态处在: ①杂交时期②血缘婚时期③族外婚时期④对偶婚时期 2、北京猿人身体各部发展不平衡,进化最快的是: ①手②脚③大脑④腿 3、著名的文化遗存——半坡遗址是处于: ①母系氏族公社初期②父系氏族公社时期 ③古人时期④母系氏族公社发展、繁荣时期 4、在西周的诸侯国中,建都商丘的是: ①齐②鲁③燕④宋 5、我国已发现的最早的人类化石是: ①蓝田人②元谋人③北京人④山顶洞人 6、夏朝是我国历史上第一个: ①父权制国家②封建领主制国家③封建地主制国家④奴隶制国家 7、西周共和行政元年是公元前何年: ①771年②770年③841年④403年 8、我国古代的法家创始人是: ①韩非②申不害③李悝④李斯 9、母系氏族公社开始于: ①距今十万年前②距今五万年前③距今二十万年前④距今一万年前 10、商朝的建立者是: ①伊尹②盘庚③成汤④武丁 11、弭兵运动的发动国是: ①宋国②楚国③晋国④卫国 12、道家的创始人是: ①老子②荀子③庄子④李悝 13、西周“国人暴动”中的“国人”多是指的: ①奴隶②平民③中小领主④农奴

14、马陵之战的交战国是: ①魏与齐②楚与齐③楚与晋④魏与赵 15、我国古代最早的一部文集是: ①《春秋》②《左传》③《仪礼》④《尚书》 16、《孙子兵法》的作者是: ①孙权②孙武③孙膑④孙坚 17、废除“禅让制”,确立王位世袭制的是: ①禹②益③启④汤 18、商朝最强盛的时期在: ①成汤时期②盘庚时期③武丁时期④商纣王时期 19、商朝盘庚时期,将国都由奄迁至: ①朝歌②镐京③亳④殷 20、我国最早的一条人工运河是: ①灵渠②邗沟③郑国渠④白渠 二、名词解释(每题5分,共20分) 甲骨文是中国已发现的古代文字中时代最早、体系较为完整的文字。有时候也被认为是汉字的书体之一,也是现存中国最古老的一种成熟文字。甲骨文又称契文、龟甲文或龟甲兽骨文。 春秋五霸秋时期,诸侯国不再听命于天子,相互兼并,于是出现了“挟天子以令诸侯”的情况,这样的大国称为“霸主”。各大国都争当霸主,于是就出现了大国争霸的局面。一般认为,齐桓公、晋文公、秦穆公、宋襄公、楚庄王为“五霸”。实际上宋襄公想称霸却未做到。而吴、越两国却相继到中原争霸,影响较大。 李悝改革李悝是我国古代法家的创始人,于公元前400年左右被魏文侯任用为相,在魏国进行改革。主要内容为:削弱世卿世禄制度,选贤任能。“尽地力”;“善平籴”;制定《法经》。这些改革促进了社会生产的发展,稳定了社会秩序?,使魏国成为战国前期最强大的国家。 文景之治刘邦的儿子文帝和孙子景帝统治时期,由于社会稳定,广大农民积极生产,;也由于他们父子进一步改善政策,减轻了人民的负担,使社会经济由

第一次作业及答案

第一次作业 一、单项选择题 1.需求规律说明(B )。 A.药品的价格上涨会使药品质量提高B.计算机价格下降导致销售量增加 C.丝绸价格提高,游览公园的人数增加D.汽车的价格提高,小汽车的销售量减少 E.羽毛球的价格下降,球拍的销售量增加 2.当羽毛球拍的价格下降时,对羽毛球的需求量将(C )。A.减少B.不变 C. 增加D.视具体情况而定E.以上都有可能 3.其他条件不变,牛奶价格下降将导致牛奶的(D )。 A.需求下降B.需求增加C.需求量下降D.需求量增加E.无法确定 4.当出租车租金上涨后,对公共汽车服务的(A )。 A.需求增加B.需求量增加C.需求减少D.需求量减少E.无法确定 5.以下几种情况中,(B )项是需求规律的例外。 A.某商品价格上升,另一商品需求量也上升B.某商品价格上升,需求量也上升 C.消费者收入增加,对某商品的需求增加

6.消费者偏好改变,对某商品的消费量随着消费者收入的增加而减少,则该商品是( D )。 A.替代品B.互补品C.正常品D.低档品E.无法确定 7.供求规律说明(D )。 A.生产技术提高会使商品的供给量增加 B.政策鼓励某商品的生产,因而该商品的供给量增加 C.消费者更喜欢某商品,使该商品的价格上升 D.某商品价格上升将导致对该商品的供给量增加 E.以上都对 8. 假如生产某种商品所需原料的价格上升了,这种商品的( B )。A.需求曲线将向左移动B.供给曲线向左移动C.供给曲线将向右移动 9. 政府为了扶持农业,对农产品规定高于均衡价格的支持价格。政府要维持支持价格,应 该采取下面的相应措施( C )。 A.增加对农产品的税收B.实行农产品配给制C.收购过剩的农产品 10. 政府把价格限制在均衡价格以下可能导致( A )。 A.黑市交易B.大量积压C.买者买到了希望购买的商品 11. 当需求的增加幅度远大于供给增加幅度的时候,( B )。

第一次作业答案

第一次作业答案 -标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

第二章财务管理的基础知识 一、计算题 1.某企业年初投资100万元生产一种新产品,预计每年年末可得净收益10万元,投资年限为10年,年利率为5%。【要求】 (1)计算该投资项目年收益的现值和终值。 (2)计算年初投资额的终值。 解:(1)年收益现值 P= 10×(P/A,5%,10) = 10×7.7217 = 77.217 (万元) 年收益终值 F= 10×(F/A,5%,10) =10×12.578 =125.78(万元) (2)年初投资额终值 F=100×(F/P,5%,10) =100×1.6289 =162.89(万元)

2.某人准备5年后支付一笔10 000元的款项,年利率为5%。 【要求】计算此人现在应存入银行多少钱,5年的复利利息为多少元。 解:复利现值 P=10000×(P/F,5%,5) =10000×0.7835 =7835(元) 复利利息 I=F-P =10000-7835 =2165(元) 3.某企业2003年年初投资一个项目,预计从2006年起至2010年每年年末可获得净收益20万元,年利率为5%。【要求】计算该投资项目年净收益的终值和现值。 解:年净收益的终值 F=20×(F/A,5%,5) =20×5.5256

=110.512(万元) 年收益的现值 P=20×[(P/A,i,m+n)﹣(P/A,i,m) =20×[(P/A,5%,8)﹣(P/A,5%,3) =20×(6.4632﹣2.7232) =74.796(万元) 4.某企业投资一个项目,每年年初投入10万元,连续投资3年,年利率为5%。 【要求】 (1)计算该项目3年后的投资总额 (2)若3年的投资额于年初一次性投入,投资总额是多少? (3) 解:(1)预付年金终值 F=10×(F/A,5%,3)×(1+5%) =10×3.1525×1.05 =33.10(万元) (2)预付年金现值

计算机组成原理试题库集及答案

计算机组成原理试题库集及答案

第一章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成; 指令和数据以同同等地位存放于存储器内,并可以按地址访问; 指令和数据均用二进制表示; 指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置; 指令在存储器中顺序存放,通常自动顺序取出执行; 机器以运算器为中心(原始冯?诺依曼机)。 7. 解释下列概念: 主机、CPU、主存、存储单元、存储元件、存储基元、存储元、存储字、存储字长、存储容量、机器字长、指令字长。 解:P9-10 主机:是计算机硬件的主体部分,由CPU和主存储器MM合成为主机。 CPU:中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早期的运算器和控制器不在同一芯片上,现在的CPU内除含有运算器和控制器外还集成了CACHE)。 主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成。 存储单元:可存放一个机器字并具有特定存储地址的存储单位。 存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元或存储元,不能单独存取。 存储字:一个存储单元所存二进制代码的逻辑单位。 存储字长:一个存储单元所存二进制代码的位数。 存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。 机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器位数有关。 指令字长:一条指令的二进制代码位数。 8. 解释下列英文缩写的中文含义:

成本管理第一次作业参考答案.doc

作业及讲评栏作者:xx 成本管理第一次作业参考答案 一、单项选择题 1.企业在生产各种工业产品等过程中发生的各种耗费,称为(C )。 A.成本 B.产品成本 C.生产费用 D.经营费用 2.产品成本实际包括的内容称为(B )。 A.生产费用 B.成本开支范围 C.成本 D.制造成本 3.企业对于一些主要产品、主要费用应采用比较复杂、详细的方法进行分配和计算,而对于一些次要的产品、费用采用简化的方法进行合并计算和分配的原则称为(D )。 A.实际成本计价原则 B.成本分期原则 C.合法性原则 D.重要性原则 4.工业企业成本核算的内容是(C )。 A.产品生产成本B.期间费用C.产品生产成本和期间费用D.各成本项目的费用 5.当几种产品共同耗用几种材料的情况下,材料费用的分配可采用 (D )。 A.定额耗用量比例分配法 B.产品产量比例分配法 C.产品重量比例分配法 D.产品材料定额成本比例分配法 6.某企业生产产品经过2道工序,各工序的工时定额分别为30小时和40小时,则第二道工序在产品的完工率约为( D )。 A.68% B.69% C.70% D.71% 7.采用约当产量法计算在产品成本时,影响在产品成本准确性的关键因素是(B )。

A.在产品的数量 B.在产品的完工程度 C.完工产品的数量 D.废品的数量 8.最基本的成本计算方法是(A )。 A.品种法 B.分批法 C.分步法 D.分类法 9.管理上不要求计算各步骤完工半成品所耗半成品费用和本步骤加工费用,而要求按原始成本项目计算产品成本的企业,采用分步法计算成本时,应采用(D )。 A.综合结转法 B.分项结转法 C.按计划成本结转法 D.平行结转法 10.在大量生产的企业里,要求连续不断地重复生产一种或若干种产品,因而管理上只要求而且也只能按照(B )。 A.产品的批别计算成本 B.产品的品种计算成本 C.产品的类别计算成本 D.产品的步骤计算成本 二、多项选择题 1.产品的价值取决于生产上耗用的社会必要劳动量,它的组成内容包括(ABD)。 A.产品中所耗用的物化劳动的价值 B.劳动者为自己劳动所创造的价值 C.企业生产中发生的全部支出 D.劳动者剩余劳动所创造的价值 E.劳动者创造价值的总和 2.在进行成本核算时,在不同时期、不同产品以及产成品和在产品之间正确分摊费用,应分清有关成本的几个界线包括(ABCD )。 A.分清本期成本和下期成本的界线 B.分清各种产品成本的界线 C.分清在产品成本和产成品成本的界线 D.分清计入产品成本和不应计入产品成本的界线 E.分清本企业产品成本和其他企业产品成本的界线

公文写作第一次作业答案(满分)

公文写作第一次作业答案(满分)

考生答题情况 作业名称:公文写作第一次作业出卷人:SA 作业总分:100 通过分数:60 起止时间:2012-10-8 18:05:18 至2012-10-8 18:09:10 学员姓名:11090111016 学员成绩:100 标准题总分:100 标准题得分:100 详细信息: 题号:1 题型:单选题(请在以下几个选项中选择唯一正确答案)本题分数:3 内容: 法定公文形成的主体是()。 A、特定人群 B、党和国家机关 C、自治团体 D、商业组织 学员答案:B 本题得分:3 题号:2 题型:单选题(请在以下几个选项中选择唯一正确答案)本题分数:3 内容: 发文机关与收文机关之间的公文往来关系称之为()。 A、公文体式 B、行文关系 C、行文方向 D、公文文体 学员答案:B 本题得分:3 题号:3 题型:单选题(请在以下几个选项中选择唯一正确答案)本题分数:3 内容: 下列部门中有权单独发布命令的是()。 A、部门党委 B、居委会 C、村委会 D、国务院 学员答案:D 本题得分:3 题号:4 题型:单选题(请在以下几个选项中选择唯一正确答案)本题分数:3 内容:

在机关秘书部门的工作活动中,最主要、最大量也是最重要的日常工作是()。 A、公文处理工作 B、领导决策工作 C、协调指挥作用 D、对外交流作用 学员答案:A 本题得分:3 题号:5 题型:单选题(请在以下几个选项中选择唯一正确答案)本题分数:3 内容: 国家行政机关隶属关系和职权范围的确定依据是()。 A、党章 B、国家宪法 C、公务员管理条例 D、党的有关决议 学员答案:B 本题得分:3 题号:6 题型:多选题(请在复选框中打勾,在以下几个选项中选择正确答案,答案可以是多个)本题分数:4 内容: 属国家行政机关法定公文文种的是()。 A、公报 B、议案 C、通知 D、请示 E、批复 学员答案:BCDE 本题得分:4 题号:7 题型:多选题(请在复选框中打勾,在以下几个选项中选择正确答案,答案可以是多个)本题分数:4 内容: 在以下的公文文种中,党政机关和国家行政机关都可以作为法定公文文种使用的有( )。 A、决议 B、公告 C、报告 D、函 E、会议纪要 学员答案:CDE 本题得分:4 题号:8 题型:单选题(请在以下几个选项中选择唯一正确答案)本题分数:3

计算机组成原理习题及答案54686word版本

计算机组成原理习题及答案54686

概论 一、选择题: 1.1946年研制成功的第一台电子数字计算机称为_B_。A.EDVAC B.ENIAC C.EVNAC D.EINAC 2.完整的计算机系统应包括__D_____.A..运算器、存储器、控制器 B.外部设备和主机 C.主机和存储器 D.配套的硬件和软件设备 3.计算机系统中的存储器系统是指__D____.A.RAM存储器 B.ROM存储器 C.内存储器 D.内存储器和外存储器 4.至今为止,计算机中的所有信息仍以二进制方式表示的理由是_C_____. A..节约元件 B.运算速度快 C.物理器件性能所致 D.信息处理方便 5.计算机硬件能直接执行的只有_B___. A.符号语言 B.机器语言 C.机器语言和汇编语言 D.汇编语言 二、填空题: 1.计算机的硬件包括__运算器_._控制器_._存储器_._输入设备_._输出设备__. 2.在计算机术语中,将运算器和控制器合在一起称为_CPU__,而将_CPU__和存储器合在一起称为__主机__. 3.计算机的软件一般分为两大类:一类叫_系统__软件,一类叫_应用__软件,其中,数据库管理系统属于_系统_软件,计算机辅助教学软件属于__应用___软件. 4.计算机系统中的存储器分为_内存储器_和_外存储器_.在CPU执行程序时,必须将指令存放在_内存储器__中. 5.输入、输出设备以及辅助存储器统称为_外部设备___. 6.计算机存储器的最小单位为__位___,1KB容量的存储器能够存储_1024*8__个这样的单位. 7.在计算机系统中,多个系统部件之间信息传送的公共通路称为__总线___,就其所传送的信息的性质而言,在公共通路上传送的信息包括_数据__、__地址__和__控制___信息. 三、衡量计算机性能的基本指标有哪些? 答:1.基本字长 2.数据通路宽度 3.运算速度:包括CPU时钟频率和数据传输率 4.存储器的容量:包括主存储器的容量和外存储器的容量 5.外围设备及其性能 6.系统软件配置运算方法和运算器 一、选择题: 1.在机器数中,__B____的零的表示形式是唯一的. A.原码 B.补码 C.反码 D.原码和反码 3.若某数X的真值为-0.1010,在计算机中该数表示为1.0110,则该数所用的编码方法__B__码. A.原 B.补 C.反 D.移 4.运算器虽有许多部件组成,但核心部分是__B____. A.数据总路线 B.算术逻辑运算单元 C.多路开关 D.通用寄存器 5.在定点二进制运算器中,减法运算一般通过__D_____来实现. A.原码运算的二进制减法器 B.补码运算的二进制减法器 C.补码运算的十进制加法器 D.补码运算的二进制加法器

卫生统计学》第一次作业及参考答案

【补充选择题】 A型题 1.统计资料的类型可以分为 A定量资料和等级资料B分类资料和等级资料 C正态分布资料和离散分布的资料D定量资料和分类资料 E二项分布资料和有序分类资料 2.下列符号中表示参数的为 A S B u C D t E X 3.统计学上所说的随机事件发生的概率P,其取值范围为 A P≤1 B P≥1 C P≥0 D1≥P≥0E1>P>0 4.小概率事件在统计学上的含义是 A指的是发生概率P≤的随机事件 B指一次实验或者观察中绝对不发生的事件 C在一次实验或者观察中发生的可能性很小的事件,一般指P≤ D以上说法均不正确 EA和C正确 5.描述定量资料集中趋势的指标有 A均数、几何均数、变异系数B均数、几何均数、四分位数间距 C均数、变异系数、几何均数D均数、四分位数间距、变异系数 E均数、几何均数、中位数 6.关于频数表的说法正确的是 A都分为10个组段 B每一个组段必须组距相等 C从频数表中可以初步看出资料的频数分布类型 D不是连续型的资料没有办法编制频数表 E频数表中的每一个组段不一定是半开半闭的区间,可以任意指定 7.关于偏态分布资料说法不正确的是 A正偏态资料的频数分布集中位置偏向数值大的一侧 B负偏态资料的频数分布集中位置偏向数值大的一侧 C偏态分布资料频数分布左右不对称

D不宜用均数描述其集中趋势 E不宜用变异系数来描述其离散程度 8.对于一个两端都没有确切值的资料,宜用下列哪个指标来描述其集中趋势 A几何均数B均数C方差 D中位数E四分位数间距 9.下列关于标准差的说法中哪种是错误的 A对于同一个资料,其标准差一定小于均数 B标准差一定大于0 C同一个资料的标准差可能大于均数,也可能小于均数 D标准差可以用来描述正态分布资料的离散程度 E如果资料中观察值是有单位的,那么标准差一定有相同单位 10.下列关于标准差S和样本含量n的说法,正确的是 A同一个资料,其他条件固定不变,随着n增大,S一定减小 B同一个资料,即使其他条件固定不变,随着n增大,也不能确定S一定减小 C同一个资料,其他条件固定不变,随着n增大,S一定增大 D以上说法均正确 E以上说法均错误 11.用下列哪两个指标可以较全面地描述正态分布特征 A均数和中位数B中位数和方差 C均数和四分位数间距D均数和标准差 E几何均数和标准差 12.下列哪个资料适宜用几何均数来描述其集中趋势 A偏态分布的资料B对称分布的资料 C等比级数资料D一端不确定的资料 E正态分布资料 13.下列关于变异系数的说法,错误的是 A与标准差一样都是用来描述资料变异程度的指标,都有单位 B可以比较计量单位不同的几组资料的离散程度 C可以比较均数相差悬殊的几组资料的离散程度 D变异系数的实质是同一个资料的标准差与均数的比值 E变异系数可以用来描述正态分布资料的变异程度 14.假设将一个正态分布的资料所有的原始数据都加上一个正数,下列说法正确的是

相关文档