文档库 最新最全的文档下载
当前位置:文档库 › 单片机秒表实验报告

单片机秒表实验报告

单片机秒表实验报告
单片机秒表实验报告

江西理工大学应用科学学院信息工程系单片机原理与应用课程设计报告

设计题目:基于51单片机的秒表设计

专业:电子信息工程

班级:电信121

学号:08060312109

参与人员:贺佳、周代元、周昶旭、张浥中

指导老师:王苏敏

完成日期:2015年1月20日

指导老师评语:

得分:指导教师签名:

目录

1设计任务和性能指标 (1)

1.1课题内容............................................................错误!未定义书签。

1.2课题要求..............................................................错误!未定义书签。2设计方案...............................................................错误!未定义书签。

2.1需求分析 (3)

2.2方案论证 (3)

3系统软件设计 (5)

4.1系统软件流程图.................................................错误!未定义书签。

4.2实验程序清单......................................................错误!未定义书签。

4系统硬件设计 (10)

5.1调试步骤 (11)

5.2性能分析..............................................................错误!未定义书签。5系统硬件设计........................................................错误!未定义书签。参考文献 (14)

1设计任务和性能指标

1课题内容要求及目的

1.1课题内容

用AT89C51设计一个秒表,该秒表课可显示0.0~99.9秒的时间,进行相应的单片机硬件电路的设计并进行软件编程利用单片机定时

器/计数器中断设计秒表,从而实现秒、十分之一秒的计时。综合运用所学的《单片机原理与应用》理论知识,通过实践加强对所学知识的理解,具备设计单片机应用系统的能力。通过本次系统设计加深对单片机掌握定时器、外部中断的设置和编程原理的全面认识复习和掌握。本系统利用单片机的定时器/计数器定时和记数的原理,通过采用proteus仿真软件来模拟实现。模拟利用AT89C51单片机、LED 数码管以及控件来控制秒表的计数以及计位!其中有三位数码管用来显示数据,显示秒(两位)和十分之一秒,十分之一秒的数码管计数从0~9,满十进一后显示秒的数码管的数字加一,并且十分之一秒显示清零重新从零计数。计秒数码管采用三位的数码管,当计数超过范围是所有数码管全部清零重新计数。

1.2课题要求

本课题是基于单片机的秒表系统设计,它的具体要求有以下几点:

(1)用单片机AT89C51实现;

(2)以0.1秒为最小单位进行显示;

(3)秒表量程为0.0-99.9秒,用LED显示;

(4)有清零、开始、停止功能。

1.3课题目的

通过课程设计,进一步熟悉和掌握AT89C51单片机的结构及工作原理,掌握以单片机核心的电路设计的基本方法和技术,了解表关电路参数的计算方法。通过完成一个包括电路设计和程序开发的完整过程,进一步了解开发一单片机应用系统的全过程,通过此综合训练,为以后毕业设计打下一定的基础。

(1)利用单片机定时器计数器中断设计秒表,从而实现秒、十分之一秒的计时。

(2)综合运用所学的《单片机原理与应用》理论知识,通过实践加强对所学知识的理解,具备设计单片机应用系统的能力,加深对单片机课程的全面认识。

(3)通过本次课程设计能够对程序进行编辑,校验。

(4)通过本次系统设计加深对单片机掌握定时器、外部中断的设置和编程原理的全面认识复习和掌握,对单片机实际的应用作进一步的了解。

(5)通过本次系统设计,增强自己的动手能力。认识单片机在日常生活中的应用的广泛性,实用性。

(6)该实验通过单片机的软件延时设计,设计简单的计时器系统,能正确的计时。

2.1需求分析

近年来,随着科学技术的进步和时代的发展,人们对秒表的功能和精度提出了越来越高的要求,各种秒表的设计也越来越重要。秒表计时器是在一种计时器上实现两种功能的一种器件。它广泛应用于各种场所,同时它的小巧,价格廉价,精确度高,使用方便,功能齐全,便于集成化。而受广大消费者的喜爱。

2.2方案论证

现今的计时器通常只能通过启/停按键实现断点计时的功能,即通过启/停按键来记录一段时间。这种计时器查看的时间只能为计时结束时刻。实际的应用中往往需要在不影响正常计时的基础上,能查看记录过程中的某些点的时间。

本课设即针对此问题,设计了一种能通过按键方式查看记录过程

中任一时刻值的计时器。这种计时器在查看中间值时不会影响整个记录过程,并且能把相应数据送入存储模块及显示模块,以便查看。

本系统采用AT89C51单片机作控制器,LED数码管,实现显示时、分、秒,以24小时计时方式。为了实现LED显示器的数字显示,可以采用静态显示法和动态显示法。由于静态显示法需要数据锁存器等硬件,结构较为复杂,考虑时钟显示只有六位,且系统没有其他复杂的处理任务,所以采用动态扫描法实现LED的显示。单片机采用AT89C51系列,有足够的空余硬件资源实现其它的扩充功能。

3系统软件设计3.1软件程序流程图

根据课题内容,可作出主流程序树状图如图3.1所示:

开始

有进位吗?

初始化

有暂停指令吗?

Y

有清零指令吗?

0.1秒位加一

N N

N

Y

有进位吗?N

Y

秒位加一

十秒位加一

Y

有进位吗?

N

Y 声音提示

图3.1

3.2实验程序清单:

HAOMIAO EQU34H

MIAO EQU35H

SHIMIAO EQU36H

ORG0000H

AJMP START

ORG0030H START:CLR C

SETB P1.6

SETB P1.5

SETB P1.4

MOV HAOMIAO,#0

MOV MIAO,#0

MOV SHIMIAO,#0

MOV DPTR,#TABLE

MOV A,#00H

MOVC A,@A+DPTR

CLR P1.6

MOV P2,A

MOV A,#00H

MOVC A,@A+DPTR

CLR P1.4

MOV P2,A

MOV DPTR,#TABLE1

MOV A,#00H

MOVC A,@A+DPTR

CLR P1.5

MOV P2,A

LCALL KAISHI

LCALL MAIN

SHIWEI:SETB P1.5

MOV DPTR,#TABLE;十秒位显示

MOV MIAO,#0;使秒位从零位重新循环

INC SHIMIAO

MOV A,SHIMIAO

CLR C

SUBB A,#6

JZ START

AJMP MAIN

RET

TINGZHI:MOV A,#00H;判断是否有清零指

MOV A,P3

ANL A,#04H

JZ START

MOV A,#00H;判断是否有停止指令

MOV A,P3

CLR C

ANL A,#02H

LCALL YANSHI

JZ MAIN

LJMP TINGZHI

START1:LJMP START

SHIWEI1:LJMP SHIWEI

MAIN:SETB P1.5

SETB P1.4

SETB P1.6

MOV A,#00H;判断是否有清零指令

MOV A,P3

ANL A,#04H

JZ START1

MOV A,#00H;判断是否有停止指令

MOV A,P3

CLR C

ANL A,#02H

JZ TINGZHI

MOV DPTR,#TABLE;0.1位秒显示

I NC HAOMIAO;加一,可查下一位数据

MOV A,HAOMIAO;判断是否进位

CLR C

SUBB A,#10

JZ MIAOZHEN

LCALL YANSHI;延时0.1秒

AJMP MAIN

RET;继续计时MIAOZHEN:

SETB P1.4

MOV DPTR,#TABLE1;秒位显示

MOV HAOMIAO,#0;使0.1秒从零重新循环

INC MIAO;加一,可查下一位数据

MOV A,MIAO;判断是否进位

CLR C

SUBB A,#10

JZ SHIWEI1

LCALL SND

AJMP MAIN;返回0.1秒计YANSHI:MOV R6,#30

DL2:MOV A,#00H;判断是否有清零指令MOV A,P3

ANL A,#04H

JZ START1

MOV DPTR,#TABLE

MOV A,SHIMIAO

MOVC A,@A+DPTR

CLR P1.6

MOV P2,A

ACALL YIHAOMIAO

SETB P1.6

MOV A,HAOMIAO

MOVC A,@A+DPTR

CLR P1.4

MOV P2,A

ACALL YIHAOMIAO

SETB P1.4

MOV DPTR,#TABLE1

MOV A,MIAO

MOVC A,@A+DPTR

CLR P1.5

MOV P2,A

ACALL YIHAOMIAO

SETB P1.5

DJNZ R6,DL2

RET YIHAOMIAO:

MOV R7,#250

CV:NOP

NOP

DJNZ R7,CV

RET

KAISHI:MOV A,#0

MOV A,P3

ANL A,#01H

JNZ KAISHI

RET

SND:SETB P1.0

MOV R1,#1EH

DL:MOV R0,#0F9H

DL1:DJNZ R0,DL1

DJNZ R1,DL

CLR P1.0

RET

TABLE:DB3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,

6FH;0,1,2,3,4,5,6,7,8,9

TABLE1:DB0BFH,086H,0DBH,0CFH,0E6H,0EDH,0FDH,087H,0FFH,0EFH END

}

4系统硬件设计

AT89C51单片机简介

AT89C51是一种低功耗、高性能的片内含有4KB快闪可编程/擦除只读存储器(FPEROM-Flash Programmable and Eraseable Read Only Memory)的8位CMOS微控制器,使用高密度、非易失存储技术制造,并且与89C51引脚和指令系统完全兼容。引脚分别如图2.1所示。

图2.1

图2.1所示的单片机是引脚双列直插封装方式,电源引脚40脚与接地脚20。P0口作输入口使用时,应先向口锁存器写入1。P1口是一个带有内部上拉电阻的8位双向I/O端口。P1的输出缓存可驱动4个TTL输入。P2口是一个带有内部上拉电阻的8位双向I/O端口。P3口也是一个带有内部上拉电阻的8位双向I/O端口,P3端口还用于一些复用功能。

5系统硬件设计

5.1调试步骤

(1)分析各单元电路图,并分析其各部分的功能;

(2)用仿真软件仿真电路的功能,并检查是否有错,无误后进行下步工作;

(3)查找有关文献了解各元件的功能及引脚和有关的资料;

5.2设计实验电路图

设计的实验原理图如下所示:

实验设计总结

为期两周的课程设计,主要是以上机操作为主,在实验室查资料,编程序,画图。

设计语言主要是采用c语言和汇编语言的自上而下的设计方法。Proteus中,自上向下的设计,就是在整个设计流程中各设计环节逐

步精益求精的过程,应用Proteus运行自上而下的设计,就是使用Proteus模型在所有综合级别上对硬件进行说明和仿真测试。顶层文件采用了原理图的方法设计,使各模块之间的层次关系清晰。

在秒表计时器实际设计过程中,所有的模块都是通过不同进制的计数器来实现其主要功能的,各模块之间是通过进位信号连接在一起的。一开始由于没有一定的合理的思路,走了不少弯路。在查阅了相关资料之后,解决了相关的问题,使我的程序更加优化。

通过这次课程设计,我进一步熟悉了Proteus软件的使用和操作方法。对c语言和汇编语言的自上向下的设计方法有了进一步的认识,在底层文件具备的条件下,使原理图可以使设置更加简单,使程序清晰,增加可读性。当然这次课程设计也存在着不足之处,计时不够足够的精确等。

单片机课程设计,是对单片机知识的验证,可以帮助我们理解巩固所学知识,激发我们对单片机课程的兴趣,更锻炼了我们独立思考、开拓创新的能力。通过这次课程设计我也发现了自身存在的不足之处,虽然感觉理论上已经掌握,但在运用到实践的过程中仍有意想不到的困惑,经过一番努力才得以解决。这也激发了我今后努力学习的兴趣,我想这将对我以后的学习产生积极的影响。其次,这次课程设计让我充分认识到合作的重要性,只有合作才能保证整个项目的有条不絮。在设计过程中,非常感谢同学们的指导,才使设计进展的比较顺利。另外在课程设计的过程中,当我们碰到不明白的问题时,指导老师总是耐心的讲解,给我的设计以极大的帮助,使我获益匪浅。因

此非常感谢王苏敏老师的教导。通过这次设计,我懂得了学习的重要性,了解到理论知识与实践相结合的重要意义,学会了坚持、耐心和努力,这将为自己今后的学习和工作做出了最好的榜样。

参考文献:

1、单片机原理及应用;

2、数字电子技术基础;

3、模拟电子技术基础;

4、高频电子线路;

单片机的数字秒表课程设计

数字式秒表的设计介绍 1.1设计任务及功能要求说明 由单片机接收小键盘控制递增计时,由LED 显示模块计时时间,显示格式为 XX(分):XX(秒).XX,精确到0.01s的整数倍。绘制系统硬件接线图,并进行系统仿真和实验。画出程序流程图并编写程序实现系统功能。 使用单片机AT89S52作为主要控制芯片,以四位一体共阳极数码显示管通过三极管驱动作为显示部分,设计一个具有特定功能的数字式秒表。该数字式秒表上电或按键复位后能自动显示系统提示符“P.”,进入准备工作状态。该数字式秒表通过按键控制可实现开始计时、暂停计时、连续计时、清零和停止功能。 1.2数字式秒表的方案介绍及工作原理说明 使用AT89S52单片机作为核心控制部件,采用12M晶体振荡器及微小电容构成振荡电路;采用S8550作为数码管的驱动部分;用两个四位一体共阳极或共阴极数码显示管作为显示部分,构成数字式秒表的主体结构,配合独立式键盘和复位电路完成此秒表的复位、计时、连续、清零、停止各项功能。 对于时钟,它有两方面的含义:一是指为保障系统正常工作的基准振荡定时信号,主要由晶振和外围电路组成,晶振频率的大小决定了单片机系统工作的快慢;二是指系统的标准定时时钟,即定时时间,它通常有两种实现方法:一是用软件实现,即用单片机内部的可编程定时/计数器来实现,但误差很大,主要用在对时间精度要求不高的场合;二是用专门的时钟芯片实现,在对时间精度要求很高的情况下,通常采用这种方法。 LED数码显示器有如下两种连接方法:共阳极接法:把发光二极管的阳极连在一起构成公共阳极,使用时公共阳极接+5V,每个发光二极管的阴极通过电阻与输入端相连。共阴极接法:把发光二极管的阴极连在一起构成公共阴极,使用时公共阴极接地。每个发光二极管的阳极通过电阻与输入端相连。 键盘部分方案:键盘控制采用独立式按键,每个按键的一端均接地,另一端直接和P1口相连,在按键和P1口之间通过10K电阻与+5V电源相连。键盘通过检测输入线的电平状态就可以很容易地判断哪个键被按下了,这种方法操作速度高而且软件结构很简单,比较适合按键较少或操作速度较高的场合,这种独立式接口的应用很普遍。 显示部分方案:显示部分采用动态显示。数码管动态显示接口是单片机中应

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

“秒表测时”实验报告Word版

“秒表测时”实验报告 一、实验任务 利用秒表对电脑主机主要元件装配作业进行测时,计算标准时间 二、实验目的 1、掌握秒表测时技术; 2、掌握标准时间的制定原理、方法、程序和步骤; 3、学会正确划分各测时单元及其计时点,并学会确定正确的宽放率; 4、掌握必要的软件工具。 三、实验原理 1、秒表测时的定义 2、秒表测时的用途 3、测时单元的划分 四、实验设备、仪器、工具及资料 1、电脑主机 2、计算机 3、装拆工具、笔、纸、记录表格 4、秒表、计算器 五、实验过程 1、实验分组,每四人一组,两人负责装配产品,两人负责观测记录 2、收集资料,实验准备,布置工作地 3、划分操作单元,确定计时点 4、测时 采用连续法记录时间研究,在现场记录时用铅笔填写秒表读数“W.R”,见附件:时间研究表(一)。计算基本时间“B.T”。 4、填写时间研究表(二),剔除异常值,用三倍标准法决定正常值范围(正常值范围在x±3σ内)。 5、决定宽放时间 取宽放率为:15%。宽放时间=正常时间×宽放率

6、计算标准时间:标准时间=平均操作时间×评比系数+宽放时间 六、整理时间研究表(一)和时间研究表(二) 时间研究表(一)(现场记录)

时间研究表(二)(统计表)

七、绘制管制界限图 对每一个操作单元进行异常值剔除,选取其中一个操作单元绘制其管制界限图 1、剔除异常值 (1)、操作单元1: n X X n i i ∑== 1 11(其中n=8) 计算得69.71=X n X X n i i ∑=-= 1 2 111)(σ 计算得=1σ 1.48 正常值为σ3±X 之内,即在(3.25,12.13)之间,所以操作单元1无异常值 (2)、操作单元2: n X X n i i ∑== 1 22(其中n=8) 计算得=2X 6.79 n X X n i i ∑=-= 1 2 222)(σ 计算得=2σ0.28 正常值为σ3±X 之内,即在(5.95,7.63)之间,所以操作单元2无异常值 (3)、操作单元3: n X X n i i ∑== 1 33(其中n=8) 计算得=3X 6.42 n X X n i i ∑=-= 1 2 333)(σ 计算得=3σ0.32 正常值为σ3±X 之内,即在(5.46,7.38)之间,所以操作单元3无异常值 (4)、操作单元4: n X X n i i ∑== 1 44(其中n=8) 计算得=4X 6.55 n X X n i i ∑=-= 1 2 444)(σ 计算得=4σ0.90

单片机秒表设计报告

广西科技大学 单片机课程设计说明书课题名称单片机秒表系统的设计 系别职业技术教育学院 专业电子信息工程 班级电子Z112 姓名(学号)红头巾组合 指导教师廖贵成 摘要

近年来随着科技的飞速发展,单片机的应用正在不断的走向深入。本文阐述了基于单片机的电子秒表设计。本设计主要特点是计时精度达到0.1s,解决了传统的由于计时精度不够造成的误差和不公平性,是各种体育竞赛的必备设备之一。 本设计是基于AT89C51单片机设计的,我们是分为几个模块来设计的。首先对秒表的硬件进行了设计,它包括时钟电路设计、复位电路设计以及外部显示电路。利用89C51单片机的定时器/计数器定时和记数的原理,结合显示电路、LED数码管以及外部中断电路来设计计时器。计时精度为0.1s。其次是软件进行了设计,软件系统采用汇编语言编写程序,包括显示程序,定时中断服务,外部中断服务程序,延时程序等。最后通过仿真调试,在proteus环境下建立了仿真模型,仿真和调试结果表明本设计是正确的。 关键词:单片机;秒表;系统设计

目录 摘要………………………………………………………………………………………I 1 课题内容要求及目的 (1) 1.1课题内容 (1) 1.2课题要求 (1) 1.3 课题目的 (2) 2 硬件设计 (2) 2.1 AT89C51单片机简介 (2) 2.2设计思路 (3) 2.3硬件电路设计 (3) 3软件设计 (6) 3.1程序设计 (6) 3.2源程序 (7) 4系统调试与仿真 (11) 4.1 proteus简介 (12) 4.2仿真调试 (12) 5总结 (15) 参考文献 (16) 致谢 (16)

简易秒表设计实验报告

实 验 报 告 系别 信工系 专业 班级 姓名 学号 简易秒表设计 实验目的: 1、 熟悉Keil C51软件的使用方法及proteus 仿真软件的使用; 2、 综合运用所学的理论知识(数码管、按键),通过实践加强对所学知识的理解,具备设计单片机应用系统的能力。 3、 通过本次试验,增强自己的动手能力。认识单片机在日常生活中的应用的广泛性,实用性。 设计要求: 制作简易秒表,用三个按键分别实现秒表的启动、停止与复位,利用两位共阴级的数码管显示时间。 设计思路: 硬件设计:数码管部分采用2位共阴极的数码管,在P0口接上拉电阻,公共端低电平扫描。按键电路部分,将按键一侧与单片机任一I/O 口相连。 软件设计:模块化思想,使用定时器T0的工作方式1,编写显示子程序,延时子程序,初始化程序,主程序设计时注意按键消抖。 原理图: XTAL218XTAL119ALE 30EA 31PSEN 29RST 9P0.0/AD0 39P0.1/AD1 38P0.2/AD2 37P0.3/AD3 36P0.4/AD4 35P0.5/AD5 34P0.6/AD6 33P0.7/AD7 32P1.01P1.12P1.23P1.34P1.45P1.56P1.6 7P1.7 8P3.0/RXD 10P3.1/TXD 11P3.2/INT0 12P3.3/INT1 13P3.4/T0 14P3.7/RD 17P3.6/WR 16P3.5/T1 15P2.7/A15 28P2.0/A8 21P2.1/A9 22P2.2/A10 23P2.3/A11 24P2.4/A12 25P2.5/A13 26P2.6/A14 27U1AT89C51C1 1nF C21nF R110k C31uF 234567891 RP1 RESPACK-8 源代码: #include<>

秒表实验报告

实验三:秒表实验报告 一、实验目的 1、了解74ls273的工作原理; 2、看懂8086工作的时序图,并且掌握8086总线的技术; 3. 通过此实验,熟悉protues7.9的基本操作和工作环境以及MASM32文件的建立与运行; 二、实验原理 用74ls273扩展IO口,通过片选信号和写信号奖数据总线上的值锁存在273中,同时在273的输出端口输出,当数据总线上的值撤销以后,由于74ls273能够锁存信号,所以273的输出端保持不变,直到下次有新的数据被锁存,通过按键可以控制数据的变化,cpu根据按键变化控制输出,通过总线就可以控制数码管的变化,显示出秒表的效果。 3、实验步骤 1、根据实验目的及内容在proteus画出电路图,如下图所示 2、画好电路图后用汇编软件,按要求写出实验代码,代码如下: .MODEL SMALL .8086 .stack .code

.startup MOV DX,0200H LOOP0: MOV BL,SEC AND BX,000FH MOV SI,BX MOV AL,SITUATION[SI] MOV BL,SEC AND BX,00F0H MOV CL,4 SHR BX,CL MOV SI,BX MOV AH,SITUATION[SI] OUT DX,AX CALL DELAY MOV AL,SEC ADD AL,1 DAA MOV SEC,AL CMP SEC,60H JB LOOP0 MOV SEC,0 JMP LOOP0 DELAY PROC NEAR PUSH BX PUSH CX MOV BX,50 DEL1: MOV CX,5882 DEL2: LOOP DEL2 DEC BX JNZ DEL1 POP CX POP BX RET DELAY ENDP .data SEC DB 00H

单片机秒表实验报告

安徽科技学院机电与车辆工程学院 《电子电路课程综合实训》 验收材料 题目: 电子秒表 姓名(学号) 胡斌1609110208 李绪1609110214 王增龙1609110227 段鑫鹏 专业: 电气工程及其自动化 班级: 112班 指导教师:叶爱芹 2013 年 12 月 29日

目录 第一章单片机课程设计任务书 (1) 一、目的意义 (1) 二、设计时间、地点和班级 (1) 三、设计内容 (1) 四、参考电路图形 (2) 五、单片机的相关知识 (3) 第二章硬件设计 (5) 一、单片机简介 (5) 二、电源电路 (5) 三、晶振振荡电路 (5) 四、复位电路 (5) 五、显示电路 (6) 六、键盘电路 (6) 七、硬件主电路图设计 (7) 八、元件清单 (7) 第三章软件设计 (8) 一、软件设计概述 (8) 二、主程序流程图 (8) 三、程序中各函数设计 (8) 四、C语言主程序设计 (10) 第四章课程设计体会 (13) ..

五、单片机相关知识 本课题在选取单片机时,充分借鉴了许多成形产品使用单片机的经验,并根据自己的实际情况,选择了AT89C51。 AT89C51单片机采用40引脚的双列直插封装方式。图1.2为引脚排列图,40条引脚说明如下: 主电源引脚Vss和Vcc ①Vss接地 ②Vcc正常操作时为+5伏电源 外接晶振引脚XTAL1和XTAL2 ①XTAL1内部振荡电路反相放大器的输入端,是外接晶体的一个引脚。当采用外部振荡器时,此引脚接地。 ②XTAL2内部振荡电路反相放大器的输出端。是外接晶体的另一端。当采用外部振荡器时,此引脚接外部振荡源。 图1.2 AT89C51单片机引脚图 控制或与其它电源复用引脚RST/VPD,ALE/PROG,PSEN和EA/Vpp ①RST/VPD 当振荡器运行时,在此引脚上出现两个机器周期的高电平(由低到高跳变),将使单片机复位在Vcc掉电期间,此引脚可接上备用电源,由VPD向内部提供备用电源,以保持内部RAM中的数据。 ②ALE/PROG正常操作时为ALE功能(允许地址锁存)提供把地址的低 字节锁存到外部锁存器,ALE 引脚以不变的频率(振荡器频率的1/6)周期性地发出正脉冲信号。因此,它可用作对外输出的时钟,或用于定时目的。但要注意,每当访问外部数据存储器时,将跳过一个ALE脉冲,ALE 端可以驱动(吸收或输出电流)八个LSTTL电路。对于EPROM型单片机,在EPROM编程期间, 此引脚接收编程脉冲(PROG功能)

电子秒表电路实验报告1

电子技术课程设计 报告 设计题目:电子秒表 院(部):物理与电子信息学院 专业班级:电子信息工程 学生姓名: 学号: 指导教师: 摘要

秒表应用于我们生活、工作、运动等需要精确计时的方面。它由刚开始的机械式秒表发展到今天所常用的数字式秒表。秒表的计时精度越来越高,功能越来越多,构造也日益复杂。 本次数字电路课程设计的数字式秒表的要求为:显示分辨率为1s/100,外接系统时钟频率为100KHz;计时最长时间为60min,五位显示器,显示时间最长为59m59.99s;系统设置启/停键和复位键。复位键用来消零,做好计时准备、启/停键是控制秒表起停的功能键。 针对上述设计要求,先前往校图书馆借阅了大量的数字电路设计方面的书籍,以及一本电子元件方面的工具书,以待查阅各种设计中所需要的元件。其次安装并学习了数字电路设计中所常用的Multisim仿真软件,在课程设计过程的电路图设计与电路的仿真方面帮助我们发现了设计电路方面的不足与错误之处。 关键字:555定时器十进制计数器六进制计数器多谐振荡器

目录 1.选题与需求分析 (1) 1.1设计任务 (1) 1.2 设计任务 (1) 1.3设计构思 (1) 1.4设计软件 (2) 2.电子秒表电路分析 (3) 2.1总体分析 (3) 2.2电路工作总体框图 (3) 3.各部分电路设计 (4) 3.1启动与停止电路 (4) 3.2时钟脉冲发生和控制信号 (4) 3.3 设计十进制加法计数器 (6) 3.4 设计六进制加法计数器 (7) 3.5 清零电路设计 (8) 3.7 总体电路图: (10) 4 结束语与心得体会 (12)

单片机课程设计报告 秒表

单片机课程设计报告 院系:**** 班别:**** 课程名称:**** 姓名:**** 学号:**** 指导老师:**** 日期:****年**月**日

一、设计任务与要求 用89C51设计一个2位的LED数码作为“秒表”。显示时间为00—99秒,每秒自动加1,另设计一个“开始”键和一个“复位”键。能存储三组计时。按一次开始键,开始计数,第二次按开始键后,计时停止。之后再按开始键,则轮流显示存储的三个计时值,直到按复位键后,再按开始键,则开始重新计时。 二、设计思想和设计说明 本设计利用AT89C52单片机的定时器/计时器定时和计数的原理,使其能精确计时。设计中包括硬件电路的设计和系统程序的设计,其硬件电路主要有主控制器、计时与显示电路和复位电路等。主控制器用AT89C52,显示电路采用共阴极LED数码管显示计时时间。利用中断系统使其能实现开始暂停的功能。P3.2,P3.3开口接2个按钮,分别实现开始,暂停,复位的功能。电路原理图设计最基本得要求是正确性,其次布局合理,最后在正确性和布局合理的前提下力求完美。 三、硬件原理框图 四、硬件原理图与其软件配合

1、程序存储器 2、数据存储器

六、程序流程图

七、源程序清单 ====================================================== ORG 0000H AJMP MAIN ORG 0003H AJMP X0_INT ORG 000BH AJMP T0_INT ORG 0013H AJMP X1_INT MAIN: MOV TMOD,#01H ;T0定时方式1 MOV TH0, #(65536-50000) / 256 ;50ms@12MHz MOV TL0, #(65536-50000) MOD 256; SETB TR0 SETB ET0 ;开启定时中断 SETB EX0 SETB EX1 SETB EA ;定时器初始化结束,下面循环显示即可 MOV R1, #99H ;0~99计数. MOV R7, #1 ;50ms计数. MOV P0, #3FH MOV P2, #3FH LOOP: SJMP LOOP ;----------------------------------------------------------- DELAY: ;延时子程序. AA4: MOV R4, #0 DJNZ R4, $ DJNZ R4, $ RET ;----------------------------------------------------------- X0_INT: ;启动/停止 CPL F0 RETI ;----------------------------------------------------------- X1_INT: ;清零 MOV R1, #0 MOV P0, #3FH

实验九电子秒表

实验九电子秒表 一、实验目的 1、学习数字电路中基本RS触发器、单稳态触发器、时钟发生器及计数、译码显示 等单元电路的综合应用。 2、学习电子秒表的调试方法。 二、实验原理 图形17-1为电子秒表的电原理图。按功能分成四个单元电路进行分析。 1、基本RS触发器 图形17-1中单元I为用集成与非门构成的基本RS触发器。属低电平直接触发的触发器,有直接置位、复位的功能。 它的一路输出Q作为单稳太触发器的输入,另一跟路输出Q作为与非门5的输入控制信 号。按动按钮开关K2(接地),则门1输出=1;门2输出Q=0,K2复位后Q、状态保持不变。再按动按钮开关K1;则Q由0变为1,门5开启,为计数器启动作为准备。 由1变0,启动单稳态触发器工作。 基本RS触发器在电子秒表中的职能是启动和停止秒表的工作。 2、单稳态触发器 图17-1中单元II为用集成与非门构成的微分型单稳态触发器,图17-2为各点波形图。 单稳态触发器的输入触发脉冲信号V1由基本RS触发器端提供,输出负脉冲V0通过非门加到计数器的清除端R。 静态时,门4应处于截止状态,故电阻R必须小于门的关门电阻R OFF。定时元件RC取值不同,输出脉冲宽度也不同。当触发脉冲宽度小于输出脉冲宽度时,可以省去输入微分电路的RP和C P。 单稳态触发器在电子秒表中的职能是为计数器提供清零信号。 图17-1 电子秒表原理图 3时钟发生器

图17-1中单元III为用555定时器构成的多谐振荡器,是一种性能较好的时钟源。 调节电位器RW,使在输出端3获得频率为50HZ的矩形波信号,当基本RS触发器Q=1时,门5开启,此时50HZ脉冲信号通过门5作为计数脉冲加于计数器①的计数输入端CP2。 3、计数及译码显示 二—五—十进制加法计数器74LS90构成电子秒表的计数单元,如图17—1中单元IV所示。其中计数器①接成五进制形式,对频率为50HZ的时钟脉冲进行五分频,在输出端QD 取得周期为0.1S的矩形波脉冲,作为计数器②的时钟输入。计数器②及计数器③接成8421码十进制形式,其输出端与实验装置上译码显示单元的相应输入端连接,可显示0.1~0.9秒;1~9.9秒计时。 注:集成异步计数器74LS90 74LS90是异步二—五—十进制加法计数器,它既可以作二进制加法计数器,又可以作五进制和十进制加法计数器。 图17—3为74LS90引脚排列,表17—1为功能表。 通过不同的连接方式,74LS90可以实现四种不同的逻辑功能;而且还右借助R0(1)、R0(2)对计数器清零,借助S9(1)、S9(2)将计数器置9。其具体功详述如下: (1)计数脉冲从CP1输入,Q A作为输出端,为二进制计数器。 (2)计数脉冲从CP2输入,Q D Q L Q H作为输出端,为异步五进制加法计数器。 (3)若将CP2和QA相连,计数脉冲由CP1输入,Q D、Q C、Q B、Q A作为输出端,则构成异步8421码十进制加法计数器。 (4)若将CP1与QD相连,计数脉冲由CP2输入,Q A、Q D、QC、QB作为输出端,则构成异步5421码十进制加法计数器。 (5)清零、置9功能。 a)异步清零 当R0(1)、R0(2)均为“1”;S9(1)、S9(2)中有“0”时,实现异步清零功能,即Q D QC QBQA=0000。 b)置9功能 当S9(1)、S9(2)均为“1”;R0(1)、R0(2)中有“0”时,实现置9功能,即Q D Q C QB QA =1001. 表17-1

单片机多功能秒表课程设计报告

单片机课程设计 课程设计任务书 20 17 -20 18 学年第一学期第17周-18 周 注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。

摘要 本设计是设计一个单片机控制的多功能秒表系统。 近年来随着科技的飞速发展,单片机的应用正在不断地走向深入,同时带动着传统控制检测日新月异的更新。在实时检测和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,仅单片机方面的知识是不够的,还要根据具体的硬件结构,以及针对具体的应用对象的软件结合,加以完善。秒表的出现,解决了传统的由于人为因素造成的误差和不公平性。 本设计的多功能秒表系统采用A T89C51单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合显示电路、电源电路、LED数码管以及按键电路来设计计时器。将软、硬件有机地结合起来,使得系统能够正确地进行计数,并且结合相应的显示驱动程序,使数码管能够正确地显示时间,暂停和中断。我们设计的秒表可以同时记录八个相对独立的时间,通过上翻下翻来查看这八个不同的计时值,可谓功能强大。其中软件系统采用汇编语言编写程序,包括显示程序,计数程序,中断,延时程序,按键消抖程序等,硬件系统利用PROTEUS强大的功能来实现,简单且易于观察,在仿真中就可以观察到实际的工作状态。 关键字:单片机,多功能秒表 小组成员:许乐,郭利铂 小组分工: 小组成员:讨论并确定秒表要实现哪些功能 许乐:硬件电路的设计仿真,查阅资料 郭利铂:编写程序,撰写实验报告

目录 1.概述 (4) 1.1设计目的 (4) 1.2设计要求 (4) 1.3设计意义 (4) 2.系统总体方案及硬件设计 (4) 2.1系统总体方案 (4) 2.2硬件设计 (5) 2.2.189C51单片机 (5) 2.2.2晶体振荡电路 (6) 2.2.3 复位电路 (7) 2.2.5显示电路 (8) 2.2.6 系统电路图 (9) 3.软件设计 (9) 3.1设计特点 (9) 3.2设计思路 (10) 3.2.1程序流程图 (10) 3.2.2程序 (10) 4.PROTEUS软件仿真 (14) 4.1仿真 (14) 4.2仿真结果描述 (15) 4.3结论及进一步设想 (16) 5.元器件清单 (16) 6.课程设计体会 (16) 7.参考文献 (18)

电子秒表设计实验报告

淮阴工学院 《数字电子技术》课程实验期末考核 2014-2015学年第2学期实验名称:电子秒表电路的设计 班级: 学号: 姓名: 学院:电子与电气工程学院 专业:自动化 系别:自动化 指导教师:《数字电子技术》实验指导教师组成绩: 2015年07月

电子秒表电路的设计 一、实验目的 1 .学习数字电路中基本RS 触发器、单稳态触发器、时钟发生器及计数、译码显示等单元电路的综合应用。 2 .学习电子秒表的调试方法。 二、实验原理 图11 -1 为电子秒表的电原理图。按功能分成四个单元电路进行分析。

1.基本RS 触发器 图11 -1 中单元I 为用集成与非门构成的基本RS 触发器。属低电平直接触发的触发器,有直接置位、复位的功能。 它的一路输出作为单稳态触发器的输入,另一路输出Q 作为与非门5 的输入控制信号。 按动按钮开关K 2(接地),则门1 输出=1 ;门2 输出Q =0 ,K 2 复位 后Q 、状态保持不变。再按动按钮开关K 1 , 则Q 由0 变为1 ,门5 开启, 为计数器启动作好准备。由1 变0 ,送出负脉冲,启动单稳态触发器工作。 基本RS 触发器在电子秒表中的职能是启动和停止秒表的工作。 2. 时钟发生器 图11 -1 中单元Ⅲ为用555 定时器构成的多谐振荡器,是一种性能较好的 时钟源。 调节电位器 R W ,使在输出端3 获得频率为50HZ 的矩形波信号,当基本RS 触发器Q =1 时,门5 开启,此时50HZ 脉冲信号通过门5 作为计数脉冲加于 计数器①的计数输入端CP 2 。

图11-2 单稳态触发器波形图图11-3 74LS90引脚排列 3.计数及译码显示 二—五—十进制加法计数器74LS90 构成电子秒表的计数单元,如图11 -1 中单元Ⅳ所示。其中计数器①接成五进制形式,对频率为50HZ 的时钟 取得周期为0.1S 的矩形脉冲,作为计数器②的脉冲进行五分频,在输出端Q D 时钟输入。计数器②及计数器③接成8421 码十进制形式,其输出端与实验装置上译码显示单元的相应输入端连接,可显示0.1 ~0.9 秒;1 ~9 秒计时。 注:集成异步计数器74LS90 74LS90 是异步二—五—十进制加法计数器,它既可以作二进制加法计数器,又可以作五进制和十进制加法计数器。

单片机简易秒表课程设计..

单片机课程设计 题目:简易秒表 系部:机电工程系 专业:机电一体化 班级: 0 9 4 班 姓名:张三 学号:2009044056 指导教师:杨富强

目录 一摘要 (1) 二绪论 (2) 2.1单片机的发展 (2) 2.2 MCS-51系列单片机介绍 (4) 三设计任务及要求 (5) 四工作原理 (5) 4.1 七段数码管概述 (5) 4.2 MCS--51的引脚及相关功能 (7) 五简易秒表电路图 (8) 六流程图 (9) 七源程序 (10) 八系统硬件设计 (11) 九总结 (12) 十课程设计心得 (13) 参考文献 (14)

一摘要 单片机自70年代问世以来得到蓬勃发展,目前单片机功能正日渐完善:单片机集成越来越多资源,内部存储资源日益丰富,用户不需要扩充资源就可以完成项目开发,不仅是开发简单,产品小巧美观,同时抗干扰能力加强,系统也更加稳定,使得它更加适合工业控制领域,具有更加广阔的市场前景;提供在线编程能力,加速了产品的开发进程,为企业产品上市赢得宝贵时间。此外单片机具有性能高、速度快、体积小、价格低、稳定可靠、应用广泛、通用性强等突出优点。单片机的设计目标主要是增强“控制”能力,满足实时控制的需要。 本文的主要内容是掌握各种单片机的结构、接口、片上外设的特点,并利用自行制作的单片机最小系统,完成一个简单应用(简易秒表)的设计与软件及硬件设计制作,让读者掌握数字单片机最小系统的设计及单片机系统的应用方法。

二绪论 当前社会信息化建设在各地蓬勃发展,作为信息发布的终端显示设备,LE D显示屏己经广泛应用于工作和生活的各个方面,主要用于显示文字、图像、动画等。L E D显示屏的应用涉及社会的许多领域,主要包括:金融证券、体育场馆、道路交通、邮政电信、商场购物中心等服务领域的业务宣传及信息显示。L ED 是发光二极管的简称(L ig ht Em it ti ng D io de)。由于它具有亮度高、响应速度快、低电压、功耗小、耐震动、寿命长等优点,使其成为室内外信息显示终端的主要发光器件。LE D显示屏是20世纪90年代出现的新型平板显示器件,由于其亮度高、画面清晰、色彩鲜艳,使它在公众多媒体显示领域一枝独秀,因此市场空间巨大。LE D显示屏的发展可分为以下几个阶段:第一阶段为1990年到1995年,主要是单色和16级双色图文屏。用于显示文字和简单图片,主要用在车站、金融证券、银行、邮局等公共场所,作为公共信息显示工具。第二阶段是1995年到1999年,出现了64级、256级灰度的双基色视频屏。视频控制技术、图像处理技术、光纤通信技术等的应用将LE D显示屏提升到了一个新的台阶。LE D显示屏控制专用大规模集成电路芯片也在此时由国内企业开发出来并得以应用。第三阶段从1999年开始,红、纯绿、纯蓝LE D大量涌入中国,同时国内企业进行了深入的研发工作,使用红、绿、蓝三原色LE D生产的全彩色显示屏被广泛应用,大量进入体育场馆、会展中心、广场等公共场所,从而将国内的大屏幕带入全彩时代。 2.1单片机的发展 单片机也被称为微控制器(M ic ro co nt r ol le r),是因为它最早被用在工业控制领域。单片机由芯片内仅有CP U的专用处理器发展而来。最早的设计理念是通过将大量外围设备和CP U集成在一个芯片中,使计算机系统更小,更容易集成进复杂的而对体积要求严格的控制设备当中。IN TE L的Z80是最早按照这种思想设计出的处理器,从此以后,单片机和专用处理器的发展便分道扬镳。单片机又称单片微控制器,它不是完成某一个逻辑功能的

电子电工综合实验报告

电工电子综合试验——数字计时器实验报告 学号: 姓名: 学院: 专业:通信工程

目录 一,实验目的及要求 二,设计容简介 四,电路工作原理简述 三,设计电路总体原理框图五,各单元电路原理及逻辑设计 1. 脉冲发生电路 2. 计时电路和显示电路 3. 报时电路 4. 较分电路 六引脚图及真值表

七收获体会及建议 八设计参考资料 一,实验目的及要求 1,掌握常见集成电路实现单元电路的设计过程。 2,了解各单元再次组合新单元的方法。 3,应用所学知识设计可以实现00’00”—59’59”的可整点报时的数字计时器 二,设计容简介: 1,设计实现信号源的单元电路。( KHz F Hz F Hz F Hz F1 4 , 500 3 , 2 2 , 1 1≈ ≈ ≈ ≈ ) 2,设计实现00’00”—59’59”计时器单元电路。 3,设计实现快速校分单元电路。含防抖动电路(开关k1,频率F2,校分时秒计时器停止)。4,加入任意时刻复位单元电路(开关K2)。 5,设计实现整点报时单元电路(产生59’53”,59’55”,59’57”,三低音频率F3,59’59”一高音频率F4)。 三,设计电路总体原理框图 设计框图: 四,电路工作原理简述 电路由振荡器电路、分频器、计数器、译码器、显示器、校时电路和报时电路组成。振荡器产生的脉冲信号经过十二级分频器作为秒脉冲,秒脉冲送入计数器,计数器通过“时”、“分”、“秒”译码器显示时间,将分秒计时器分开,加入快速校分电路与防抖动电路,并控制秒计

时器停止工作。较分电路实现对“分”上数值的控制,而不受秒十位是否进位的影响,在60进制控制上加入任意时刻复位电路。报时电路通过1kHz或2kHz的信号和要报时的时间信号进行“与”的运算来实现的顶点报时的,通过两个不同频率的脉冲信号使得在不同的时间发出不同的声响。 五,各单元电路原理及逻辑设计 (1)脉冲发生电路 脉冲信号发生电路是危机时期提供技术脉冲,此次实验要求产生1HZ的脉冲信号。用NE555集成电路和CD4040构成。555定时器用来构成多谐振荡器,CD4040产生几种频率为后面电路使用。 实验电路如下(自激多谐振荡电路,周期矩形波发生电路) 震荡周期T=0.695(R1+2*R2)C,其中R1=1KΩ,R2=3KΩ,C=0.047uf,计算T=228.67*10-6 s ,f=4373.4Hz产生的脉冲频率为4KHz,脉冲信号发生电路 和CD4040连接成如图所示的电路,则从Q12输出端可以得到212分频信号F1,即1Hz的信号,Q11可以得到F2即2Hz的信号提供给D触发器CP和校分信号,Q3输出分频信号500Hz,Q2输出1KHz提供给报时电路 二,秒计时电路 应用CD4518及74LS00可以设计该电路,CD4518是异步清零,所以在进行分和秒十位计数的时候,需要进行清零,而在个位计数的时候不需要清零。所以Cr2=2QcQb,Cr4=4Qc4QB。当秒个位为1001时,秒十位要实现进位,此时需要EN2=1Qd,同理分的个位时钟EN3=2Qc,分十位时钟端EN4=3Qd。因此,六十进制计数器逻辑电路如下图所示

FPGA设计的秒表设计实验报告

《FPGA原理及应用》 实验报告书 (7) 题目秒表设计 学院专业 姓名学号 指导教师 2015年10-12月 一、实验目的 掌握小型电路系统的 FPGA 设计法。 二、实验内容

用文本法结合原理图的方法设计一个秒表,并在实验箱上进行验证。秒表基本功能要求如下: (1)要求设置复位开关。当按下复位开关时,秒表清零并做好计时准备。在任何情况下只要按下复位开关,秒表都要无条件地进行复位操作,即使是在计时过程中也要无条件地进行清零操作。 (2)要求设置启/停开关。当按下启/停开关后,将启动秒表并开始计时,当再按一下启/停开关时,将终止秒表的计时操作。 (3)要求计时精确度大于秒。要求设计的计时器能够显示分(2 位)、秒(2 位)、秒(1 位)的时间。 (4)要求秒表的最长计时时间为 1 小时。 要求外部时钟频率尽量高,分频后再给秒表电路使用。 三、实验条件 1、开发软件:QuartusⅡ 2、实验设备:KX_DN8EDS实验开发系统 3、拟用芯片:EP3C55F484C8 四、实验设计 1、六进制计数器 仿真波形

2、十进制计数器 3、分频计 4、七段数码管译码器

5、100进制原理图 6、60进制原理图 7、秒表原理图

8、管脚锁定 新建好工程文件,芯片选择Cyclone Ⅲ下面的EP3C55F484C8系列。然后锁定引脚:选择Assignments → Assignments Editor命令。

9、编译文件下载 将编译产生的SOF格式配置文件下载进FPGA中。 10、FPGA实验箱接线 在KX-EDA40A++实验箱上进行连线,分配J4,J5的引脚,输入CLK(PIN_接到时钟信号,输入的EN,RST 接到电平开关L1,L2。 五、实验总结 经过本次实验,我对QuartusⅡ的使用认识更加深刻,对FPGA技术有了更深层次的认识,有助于我对以后的电子电路设计有极大帮助。

基于单片机的秒表课程设计

基于单片机的秒表课程设计

基于单片机的秒表课程设计 姓名: 班级: 学号: 专业: 指导老师: 年月日

目录1、总体设计方案简介 1.1设计课程任务 1.2系统分析 1.3系统方案 1.4方案论证 2、硬件设计 2.1控制芯片的介绍 2.2硬件接线 2.2.1硬件接线接口 2.2.2硬件接线图 3、软件设计 3.1程序设计思路 3.2流程图 3.3源程序 3.4仿真结果 4、元件清单 5、心得体会

基于单片机的秒表课程设计 摘要 本设计的成品是在单片机最小系统的基础上增加显示电路和控制电路来完成数字式秒表的硬件电路的。电子秒表电路主要由AT89S51单片机最小系统电路、七段数码管动态显示电路和控制电路组成,它能实现八段数码显示和计时,能通过控制电路控制时间的暂停和开始。 关键字:AT89S51 数码管最小系统 1总体设计方案简介 1.1设计课题任务 设计一个具有特定功能的数字式秒表。用AT89C52设计一个2位LED 数码显示“秒表”,显示时间为00-59,另设计一个“开始”按钮和一个“复位”按钮。按键说明:按“开始”按键,开始计数,数码管从00开始每秒自动加一;按“复位”按键,系统清零,数码管显示00。 1.2系统分析 设计的电路主要是能多次计时,计时的多少通过显示电路出来,设计框图如图所示; 控制部 分技术和 存储部显示部分

1.3系统方案 利用AT89C52单片机设计数显定时器。此方案采用AT89C52单片机系统来实现。AT89C52芯片内含8KB 的EEPROM ,不需要外扩展存储器,可是系统整体结构更为简单。设计框图如图所示; 1.4方案论证 此方案是以AT89C52芯片为中心控制系统,可实现计时、清零等功能,大大提高了系统的智能化,也是的系统所测结果精度大大提高。所以此方案可行。 2硬件设计 2.1控制芯片的介绍 AT89S52是一种低功耗、高性能的片内含有4KB 快闪可编程/擦除只读存储器,的8位CMOS 微控制器,使用高密度、非易失存储技术制造, 外部控制开关 AT89C52 单 片 机 七段数码显示

89C51单片机课程设计之秒表设计实验报告

单片机课程设计报告 单 片 机 秒 表 系 统 课 程 设 计 班级: 课程名称:秒表设计 成员: 实训地点:北校机房 实训时间:6月4日至6月15日

目录 1课程设计的目的和任务 1.1 单片机秒表课程设计的概述 1.2课程设计思路及描述 1.3 课程设计任务和要求 2硬件与软件的设计流程 2.1系统硬件方案设计 2.2所需元器件 3 程序编写流程及课程设计效果 3.1源程序及注释 3.2原理图分析 3.3课程设计效果 4 心得体会

1. 课程设计的目的和任务 1.1单片机秒表课程设计的概述 一、课程设计题目 秒表系统设计——用STC89C51设计一个4位LED数码显示“秒表”,显示时间为000.0~9分59.9秒,每10毫秒自动加一,每1000毫秒自动加一秒。 二、增加功能 增加一个“复位”按键(即清零),一个“暂停”和“开始”按键。 三、课程设计的难点 单片机电子秒表需要解决几个主要问题,一是有关单片机定时器的使用;二是如何实现LED的动态扫描显示;三是如何对键盘输入进行编程;四是如何进行安装调试。 四、课程设计内容提要 本课程利用单片机的定时器/计数器定时和记数的原理,结合集成电路芯片8051、LED数码管以及课程箱上的按键来设计计时器。将软、硬件有机地结合起来,使得系统能够正确地进行计时,数码管能够正确地显示时间。其中本课程设计有三个开关按键:其中key1按键按下去时开始计时,即秒表开始键,key2按键按下去时数码管清零,复位为“00.00”. key3按键按下去时数码管暂停。 五、课程设计的意义 1)通过本次课程设计加深对单片机课程的全面认识复习和掌握,对单片机课程的 应用进一步的了解。 2)掌握定时器、外部中断的设置和编程原理。 3)通过此次课程设计能够将单片机软硬件结合起来,对程序进行编辑,校验。 4)该课程通过单片机的定时器/计数器定时和计数原理,设计简单的计时器系统, 拥有正确的计时、暂停、清零,并同时可以用数码管显示,在现实生活中应用广泛,具有现实意义 1.2课程设计思路及描述

数字电路实验电子秒表设计

* * 交通大学 信息科学与工程学院 综合性实验报告 姓名:*** 学号631206050*** 班级:2012 级软件2班 实验项目名称:电子秒表 实验项目性质:设计性实验 实验所属课程:数字电路技术基础 实验室(中心):电子电工实验室 指导教帅: *** _________________________ 实验完成时间:2013 年J2 月20 日

一、实验目的 1. 熟悉计数器的工作原理及特点; 2. 了解和掌握四位同步可预置二进制计数器 74LS161的使用方法; 3. 学习设计N进制加法计数器的方法; 4. 了解电子秒表的设计原理; 5. 掌握电子秒表的设计方法; 二、实验内容及要求 1. 自己设计的同学可以在实验中验证; 2. 按老师要求做的最好回去用EW殷计60分钟的电子秒表; 3. 完成实验报告,附上电路图及仿真结果; 4. 总结数字电路设计的一般方法,掌握常见的数字电路设计的软件。 三、实验原理 本实验采用4枚74LS161计数器及少量的门电路组成。当原有计数器从全0状态开始计数并接收了n个脉冲后,电路进入SN状态;如果将SN状态译码产生一个置零信号加入到计数器的置零输入端,则计数器立刻返回S0状态,因此可以得到相应进制 的计数器。由丁电路一旦进入SN状态后立刻乂被置成S0状态,所以SN状态只在极短的时间

出现,在稳定的状态循环中不包括SN状态。 本实验采用的4枚74LS161计数器中其中两枚采用十进制计数,另外两枚采用六进制计数用丁实现60分钟秒表的设计。 五、实验过程及原始记录(含电路图) 本实验采用4枚74LS161计数器进行实验设计,用丁构成秒表;设计过程中其中两枚需设计成十进制计数器;另外两枚需设计成6进制计数器。 六进制计数器:当Q3Q2Q1Q0=0110时,置零输入端输入置零信号使计数器活零;当Q3Q2Q1Q0=0101时,产生一个进位信号输入下一级计数器的EP、ET工作状态控制端。 十进制计数器:当Q3Q2Q1Q0=1010时,置零输入端输入置零信号使计数器活零;当Q3Q2Q1Q0=1001时,产生一个进位信号输入下一级计数器的EP、ET工作状态控制端。 其电路图实现如下:

相关文档
相关文档 最新文档