文档库 最新最全的文档下载
当前位置:文档库 › 三相全控桥触发脉冲的单片机实现方法

三相全控桥触发脉冲的单片机实现方法

三相全控桥触发脉冲的单片机实现方法
三相全控桥触发脉冲的单片机实现方法

三相全控桥触发脉冲的单片机实现方法

谢运祥 郭 辉

(华南理工大学电力学院 510640)

摘 要 介绍了通过简易单片机来实现三相桥式电路晶闸管的移相触发控制方法,为了减少

系统对单片机定时资源的要求,提出用一个同步电压信号,通过触发脉冲延迟角的调整算法,实现对称三相触发脉冲输出的方法,理论分析和试验证明该方法是可行的。 关键词 触发脉冲 晶闸管 微机控制

1 引言

晶闸管作为电力电子技术发展最早和最成熟的器件,至今仍有广泛的应用。它的控制方式通常有移相触发控制和过零开关控制两种。其中移相触发控制适用于大功率整流电源、电机软起动器、泵、阀门、可调光照明等场合,通过调节晶闸管导通时刻的相位来达到控制输出的目的。晶闸管的触发控制可以通过模拟电路、数字电路、单片机控制等方法实现,以往采用模拟电路实现触发控制的方法应用最多,并出现过许多专用触发芯片,如K J 004、K J 041、K J 042、TCA785等,然而模拟电路控制总是存在控制精度不高、对称度不好、易受温度漂移影响等问题;而数字式触发电路是通过脉冲定时计数的方式实现触发角的延迟计算,与模拟方式相比,其控制精度高、对称性好、温漂影响小,但其主要缺点是电路复杂、移相触发角较大时控制精度有所降低;单片机控制除了具有与数字式触发电路相同的优点外,更因为其移相触发角由软件计算完成,因而触发电路结构简单,控制灵活,精度可通过软件补偿,移相范围可任意调节等特点,目前已获得了广泛应用。下面便介绍使用单片机实现的晶闸管触发技术的具体方法。

2 触发脉冲的微机实现原理

211 晶闸管的同步检测

在整流电路中,由于晶闸管的触发信号应以同步电压信号为基准延迟一定的相位角,此时应采用同步电压过零检测。电压过零检测用于可控整流电路、交流调压电路中,一般以同步电压过零点作为触发电路的相位延迟基准,因此检测的任务就是要

测量同步电压过零的时刻,以此点作为单片机计算晶闸管触发相位角的起始点。相位控制要求以变形电路的自然换相点(即用二极管替代晶闸管时,对应位置二极管导通的时刻)为基准,经过一定的相位延迟后,再输出触发信号使晶闸管导通。在实际应用中,自然换相点是通过同步信号给出,再按同步电压过零检测的方法在CPU 中实现同步,并由CPU 控制软件完成移相计算后,按移相要求输出触发脉冲[1]。212 相位的延迟计算

在单片机控制系统中,晶闸管触发相位的延迟可以通过CPU 内部定时器计算产生。以MCS51系列单片机为例,单片机检测的同步信号过零时刻,作为触发相位延迟定时器计算的起点,而定时器的定时时间常数则需要根据检测的同步信号周期(一般用另一个定时器来测量)和应延迟的触发相位大小进行计算。

假定0°~180°的触发相位延迟角以8位二进制数的形式给出,触发相位延迟角指令的分辨率为017°,此8位二进制数D 与触发延迟角α的关系为:

α=D 256

×180(1)

设单片机定时器计数脉冲的周期为T s ,在此计数周期条件下,经过内部定时计数测量的同步信号周期计数值为T ,即360°角对应的计数值为T ,那么在相同的定时计数周期下,触发延迟角α对应的计数值T N 为:

T N =

D T

512

(2)

6

6—《电工技术杂志》2003年第3期

?应用技术?

将式(2)计算的定时计数值变换成定时初值装入定时器后,并在检测的同步信号过零时刻启动定时器工作,当定时器溢出时输出触发脉冲,即可获得所需的脉冲延迟相位。在单片机中,所有这些处理都可完全通过中断来实现。213 触发脉冲信号输出的时序

在三相电路中,触发脉冲信号输出的时序也可以由单片机根据同步信号电平情况来确定。以图1所示的三相桥式可控整流电路为例,当A 相同步电压信号被单片机检测,得到矩形波的电平信号。这时,单片机实现输出脉冲时序的计算通常有两种方法

图1 三相桥式可控整流电路

第一种方法是:每相都用一套独立的同步电压

信号和定时器来完成触发脉冲的定时输出,此时需要三个同步电压信号和三个定时器。以A 相为例,单片机在完成同步检测和相位延迟定位后,输出触发脉冲,但该脉冲送A 相的哪个晶闸管则由同步信号电平决定。当同步信号为高电平时,触发脉冲送V T 1晶闸管;反之,同步信号电平为低则送V T 4晶闸管。其他相类推。这种方法简单,容易编程实现,但需要单片机的资源较多。第二种方法是:用一个同步电压信号和一个定时器来完成触发脉冲的计算,这在三相电路对称时是可行的。因为三相完全对称,各相彼此差120°,电路每隔60°需要换流一次,且换流的时序事先是已知的。该方法与第一种方法比较,所用单片机资源少,只要一个同步信号,电路也简单,但软件计算工作量稍大。

本文采用第二种方法,该方法实现触发脉冲的延迟要比第一种算法复杂,具体实现方法如下:由于只用一个同步信号,所有晶闸管的触发脉冲延迟都以它为基准。为了保证触发脉冲延迟相位的精度,用一个定时器测量同步电压信号的周期,并由此计算出60°和120°电角度所对应的时间。由于三相桥式可控整流电路的触发电路,必须每隔60°换流一次,也就是说,每隔60°时间必然要输出一次

触发脉冲信号,因此第一个基准触发脉冲信号必须

调整到小于60°才能保证触发脉冲不遗漏。

以A 相同步电压信号为基准,当单片机检测到A 相同步电压信号由0到1的正跳变时,启动定时器工作,当定时器溢出时,输出第一个触发脉冲信号,以后每隔60°定时时间输出一次触发脉冲,直到单片机再次检测到A 相同步信号的正跳变时,又重复上述过程。值得注意的,从单片机检测到同步电压正跳变到输出第一个触发脉冲信号的时间必须调整到小于60°电角度时间,否则会造成触发脉冲的遗漏。第一个触发脉冲相对于同步信号正跳变的时间可根据三相桥式整流电路的触发时序来调整,如图2所示

图2 单一同步基准的双窄触发脉冲时序调整方法

α0—触发延迟角 α12α0—

触发窄脉冲宽度α2—α0=α3-α2=60° α4=360°

g 0—同步脉冲信号 g 1,g 2,g 3,g 4,g 5,g 6—触发脉冲信号 0—低电平 1—高电平

当移相延迟的触发角α小于60°,此时以A 相

同步信号为基准、并按延迟角时间定时实现的第一个脉冲输出应该是A 相V T 1晶闸管的触发信号,因而延迟时间无需调整。之后,每隔60°时间依次输出V T 2、V T 3、V T 4、V T 5晶闸管的触发信号。

当移相延迟角α大于60°而小于120°时,为保证触发脉冲不遗漏,应将延迟角的定时时间调整在60°电角度时间之内,即减去一个60°电角度时间。

相应地,输出触发脉冲的时序也要进行调整,此时第一个输出触发脉冲信号应该是B 相,V T 6晶闸管的触发信号。之后每隔60°时间依次输出V T 1、V T 2、V T 3、V T 4、V T 5晶闸管的触发信号。当移相延迟角α大于120°时,要将延迟角的定时时间调整在60°电角度时间之内,则需减去一个120°电角度时间,此时第一个输出触发脉冲信号应

该是C 相V T 5晶闸管的触发信号,之后,每隔60°

7

6—三相全控桥触发脉冲的单片机实现方法

《电工技术杂志》2003年第3期

时间依次输出V T 6、V T 1、V T 2、V T 3、V T 4晶闸管的触发信号,如表1所示。

表1 输出触发脉冲的调整

触发信号g 1

g 2

g 3

g 4

g 5

g 6

对应管

α0<60°

V T 1V T 2V T 3V T 4V T 5V T 660°≤α0<120°V T 6V T 1V T 2V T 3V T 4V T 5α1≥

120°V T 5

V T 6

V T 1

V T 2

V T 3

V T 4

3 移相触发控制的软件设计

[2]

根据前面的分析,由单片机产生所需的晶闸管

移相触发脉冲,必须包括同步电压检测环节、移相延迟角定时环节、触发脉冲时序分配环节等部分,它与模拟电路实现的方法是类似的。

假定控制CPU 采用MCS51系列单片机A T89C2051,同步检测信号在发生正跳变时,经反相以中断的形式向CPU 提供同步指令。采用CPU 内部T 0定时器检测同步信号的周期,用T 1定时器实现移相相位角的定时控制。P 1端口的P 112~P 117分别用于输出三相桥式整流电路V T 1~V T 6晶闸管的触发脉冲信号。为避免CPU 复位期间所有晶闸管均存在驱动信号,采用低电平为有效触发信号,即当端口输出为低电平时,经外加反相器变为高电平后触发晶闸管导通,输出触发脉冲的宽度也通过定时器T 1来控制。而触发脉冲的指令信号可以利用片内模拟比较器(P 110~P 111)实现A/D 转换的方法输入[3]。产生触发脉冲的控制软件框图如图3所示

86—《电工技术杂志》2003年第3期

三相全控桥触发脉冲的单片机实现方法

4 试验及结果分析

根据上述方法,本文设计了利用单片

A T89C2051芯片实现三相桥式可控整流电路的双窄脉冲触发控制系统。通过试验验证,当触发角α在0°~180°范围内变化时,CPU 均能完成触发脉冲的计算任务,且输出的触发脉冲正确可靠。图4是利用TDS220数字示波器实测的试验波形,其中上面的波形是从CPU 引脚上测得的输出到V T 1管的触发脉冲,由于采用了低电平有效触发,因而低电平即为有效的触发脉冲信号;下面的波形是输入到CPU 外中断引脚IN TO 的A 相同步信号,该信号是由A 相同步信号经反相后输入的

图4 输出触发脉冲及同步信号示波图

1—T 1管的输出触发脉冲信号 2—同步信号

5 结论

由上述理论分析和试验证明,本文提出的实现三相晶闸管触发脉冲控制信号的方法,所需定时资源少,占用CPU 的I/O 口也不多,仅需一片非常简单的CPU 即可完成,是一种实用的数字触发电路的实现方法。

参考文献

1 黄俊,王兆安1电力电子变流技术1北京:机械工业出

版社,2000

2 王幸之,王雷等1单片机应用系统抗干扰技术1北京:

北京航空航天大学出版社,2000

3 谢运祥,薛英杰1用GMS97C2051实现的三相SPWM

波形发生器1国外电子元器件,2001(2)

Singlechip Control Method for the T rip 2Pulses in Three 2Phase Circuit

Xie Y unxiang

(S outh China University of Technology )

Abstract This paper introduces the generation method of the trip pulse of thyristor in three phases bridge rectifier circuit used by singlechip.In order to reduce the number of singlechip timing resources ,a new calculation method of tri p angle based only one synchronism voltage is raised to realize symmetrically

three phases trip pulse output control ,the analysis and experiment proved the method raised in this paper is efficient.K eyw ords trip pulse thyristor singlechip control

收稿日期:20021129

(上接第44页)

5 结论

该样机在变频范围内均运行在最高的效率区域和较高的功率因数范围内。其主要性能指标达到了当前国际先进水平。试验验证了本文所采用和提出的优化设计方法是有效的、正确的和先进的。

参考文献

1 孟朔,赵争鸣,王祥珩1适用于变频调速系统的异步电

机设计与分析方法的研究∶[博士论文]1清华大学电机工程与应用电子技术系,2000

2 陈世坤1电机设计(下)1北京∶机械工业出版社,19983 Bedrich H eller ,Vaclav H amata 1H arm onic field effects in induction machines 1E lsevier S cientific Publishing C om pany ,1982

4 陈永校,渚自强1电机噪声的分析和控制1杭州∶浙江

大学出版社,1987Optimized Electric Magnetic Design of Big and Medium Size I nverter 2Driven V ariable

Speed Motor with High E ff iciency

W u Xiangdong

(Tsinghua University )

Abstract C onventional asynchronous m otor design m odel has not adapte design application of big and medium size inverter 2driven variable s peed asynchronous m otor.From whole inverter 2driven variable s peed system ,new optimized design theory ad opts advanced self 2adaptive design m odel and aiming at influence of harm onics analysis m odel of transducer power supply 1On the whole ,electrical machine optimized design makes big and medium size inverter 2driven variable s peed m otor m ore high eficient.

K eyw ords inverter 2driven variable s peed self 2adaptive optimized design big and medium size induction motor

收稿日期:20021220

9

6—三相全控桥触发脉冲的单片机实现方法《电工技术杂志》2003年第3期

对外部脉冲计数系统的设计计数器课程设计(单片机)

湖南工业大学 课程设计 资料袋 理学学院(系、部)2012 ~ 2013 学年第 1 学期 课程名称单片机应用系统指导教师周玉职称副教授学生姓名张思远专业班级电子科学102 学号 题目对外部脉冲计数系统的设计 成绩起止日期2013 年01 月06 日~2013 年01 月10 日 目录清单 湖南工业大学 课程设计任务书 2012 —2013 学年第1 学期

理学院学院(系、部)电子科学专业102 班级 课程名称:单片机应用系统 设计题目:对外部脉冲计数系统的设计 完成期限:自2013 年01 月06 日至2013 年01 月10 日共 1 周 指导教师(签字):年月日 系(教研室)主任(签字):年月日

附件三 (单片机应用系统) 设计说明书 (题目) 对外部脉冲计数系统的设计 起止日期:2013 年01 月06 日至2013 年01 月10 日学生姓名张思远 班级电子科学102 学号 成绩 指导教师(签字) 电气与信息工程学院 2012年12 月10 日 一、设计任务: 1.1 外部脉冲自动计数,自动显示。 1.1.1设计一个255计数器:0-255计数,计满后自动清0,重 新计数(在数码管中显示)。 1.1.2设计一个50000计数器:0-50000计数,计满后自动清0, 重新计数(在数码管中显示)。 注:要求首先采用PROTEUS完成单片机最小系统的硬件电路 设计及仿真;程序仿真测试通过后,再下载到单片机实训 板上执行。

二、硬件设计介绍: ※STC89C52单片机; ※6位共阴或者共阴极数码管; ※外部晶振电路; ※ISP下载接口(In system program,在系统编程); ※DC+5V电源试配器(选配); ※ISP下载线(选配) ※6个PNP(NPN)三极管 ※12个碳膜电阻 三、硬件设计思路 方案一:五个1位7段数码管,无译码器 方案二:五个1位7段数码管,译码器 方案三:1个6位7段数码管,译码器 方案四:1个6位7段数码管,无译码器 考虑实际中外围设备、资金、单片机资源利用率、节省端口数量,可实行性以及连接方便等问题,采用6为数码管(共阳或者共阴极)由于实际中没买到6位的,采用2个三位数码管并接组合一个6位数码管形式;由于实际P口驱动能力有限,故采用6位三极管增大驱动能力,已便足以使得6位数码管亮度明显正常工作,增加6个电阻限流保护数码管不被烧坏。让数码管a-g7段分别接P1.6—P1.0,6位位选分别接P2.5—P2.0。 方法一:共阴极数码管 硬件图1.0所示:通过npn管放大后,段选高电平有效,位选低电平有效 图1.0共阴极数码管硬件原理图 方法二:共阳极数码管 原理图如图2.0:段选低电平有效,位选低电平有效(通过pnp管连接,不再是高电平有效了,由于特意此接法,共阳极共阴极数码管只是差别段选控制,为程序修改提供极大的方便之处,故程序只需要修改段选地址即可,实现共阳极共阴极互换) 图2.0共阳极数码管硬件原理图 三、程序设计思路: 由于设计是255和50000计数器,对于计数器工作模式二,TL最大值为255,可以实现对255计数,但是对于50000得另寻他路,为了建立不限制计数器模型,改进程序的可更改性可移植性可读行,对计数器模式二另TL1=0FFH(以

单片机脉冲计数器程序汇编

单片机脉冲计数器 1、设计内容 用单片机实现对一路脉冲计数和显示的功能。硬件包括单片机最小系统、LED显示、控制按钮;软件实现检测到显示 2、要求 计数范围0~2000; 脉冲输入有光电隔离整形, 有清零按钮 程序如下: ORG 0000H LJMP MAIN ORG 0003H LJMP 0100H ORG 0013H LJMP 0150H ORG 0050H MAIN: CLR A MOV 30H , A ;初始化缓存区 MOV 31H , A MOV 32H , A MOV 33H , A MOV R6 , A MOV R7 , A SETB EA SETB EX0 SETB EX1 SETB IT0 SETB IT1 SETB PX1 NEXT1: ACALL HEXTOBCDD ;调用数制转换子程序 ACALL DISPLAY ;调用显示子程序 LJMP NEXT1 ORG 0100H ;中断0服务程序 MOV A , R7 ADD A , #1 MOV R7, A MOV A , R6 ADDC A , #0 MOV R6 , A CJNE R6 , #07H , NEXT CLR A MOV R6 , A MOV R7 , A NEXT: RETI

ORG 0150H ;中断1服务程序 CLR A MOV R6 , A MOV R7 , A RETI ORG 0200H HEXTOBCDD:MOV A , R6 ;由十六进制转化为十进制PUSH ACC MOV A , R7 PUSH ACC MOV A , R2 PUSH ACC CLR A MOV R3 , A MOV R4 , A MOV R5 , A MOV R2 , #10H HB3: MOV A , R7 ;将十六进制中最高位移入进位位中RLC A MOV R7 , A MOV A , R6 RLC A MOV R6 , A MOV A , R5 ;每位数加上本身相当于将这个数乘以2 ADDC A , R5 DA A MOV R5 , A MOV A , R4 ADDC A , R4 DA A ;十进制调整 MOV R4 , A MOV A , R3 ADDC A , R3 DJNZ R2 , HB3 POP ACC MOV R2 , A POP ACC MOV R7 , A POP ACC MOV R6 , A RET ORG 0250H DISPLAY: MOV R0 , #30H MOV A , R5

4 脉冲信号产生电路共23页文档

4 脉冲信号产生电路 4.1 实验目的 1.了解集成单稳态触发器的基本功能及主要应用。 2.掌握555定时器的基本工作原理及其性能。 3.掌握用555定时器构成多谐振荡器、单稳态触发器的工作原理、设计及调试方法。 4.2 实验原理 1.集成单稳态触发器及其应用 在数字电路的时序组合工作中,有时需要定时、延时电路产生定时、展宽延时等脉冲,专门用于完成这种功能的IC,就是“单稳延时多谐振荡器”,也称“单稳触发器”。其基本原理是利用电阻、电容的充放电延时特性以及电平比较器对充放电电压检测的功能,实现定时或延时,只需按需要灵活改变电阻、电容值大小,就可以取得在一定时间范围的延时或振荡脉冲输出。常用的器件有LS121/122、LS/HC123、LS/HC221、LS/HC423、HC/C4538及CC4528B等。 集成单稳态触发器在没有触发信号输入时,电路输出Q=0,电路处于稳态;当输入端输入触发信号时,电路由稳态转入暂稳态,使输出Q=1;待电路暂稳态结束,电路又自动返回到稳态Q=0。在这一过程中,电路输 出一个具有一定宽度的脉冲,其宽度与电路的外接定时元件C ext 和R ext 的数 值有关。 图4-1

集成单稳态触发器有非重触发和可重触发两种,74LS123是一种双可重触发的单稳态触发器。它的逻辑符号及功能表如图4-1、表4-1所示。 在表4-1中“正”为正脉冲,“负”为负脉冲。 LS/HC123的特点是,复位端CLR也具有上跳触发单稳态过程发生的功能。 在C ext >1000pF时,输出脉冲宽度t w ≈0.45R ext C ext 。 器件的可重触发功能是指在电路一旦被触发(即Q=1)后,只要Q还未恢复到0,电路可以被输入脉冲重复触发,Q=1将继续延长,直至重复触发的最后一个触发脉冲的到来后,再经过一个t w (该电路定时的脉冲宽度)时间,Q才变为0,如图4-2所示: 图4-2 74LS123的使用方法: (1)有A和B两个输入端,A为下降沿触发,B为上升沿触发,只有AB=1时电路才被触发。 (2)连接Q和A或Q与B,可使器件变为非重触发单稳态触发器。 (3)CLR=0时,使输出Q立即变为0,可用来控制脉冲宽度。 (4)按图4-3、3-5-4连接电路,可组成一个矩形波信号发生器,利用开关S瞬时接地,使电路起振。 图4-3 图4-4 2.555时基电路及其应用 555时基电路是一种将模拟功能和数字逻辑功能巧妙地结合在同一硅片上的新型集成电路,又称集成定时器,它的内部电路框图如图4-5所示。 图4-5 电路主要由两个高精度比较器C 1、C 2 以及一个RS触发器组成。比较器 的参考电压分别是2/3V CC 和1/3V CC ,利用触发器输入端TR输入一个小于 1/3V CC 信号,或者阈值输入端TH输入一个大于2/3V CC 的信号,可以使触发 器状态发生变换。CT是控制输入端,可以外接输入电压,以改变比较器的参考电压值。在不接外加电压时,通常接0.01μF电容到地,DISC是放电输入端,当输出端的F=0时,DISC对地短路,当F=1时,DISC对地开路。 R D 是复位输入端,当R D =0时,输出端有F=0。 器件的电源电压V CC 可以是+5V~+15V,输出的最大电流可达200mA,当 电源电压为+5V时,电路输出与TTL电路兼容。555电路能够输出从微秒级到小时级时间范围很广的信号。 (1)组成单稳态触发器 555电路按图4-6连接,即构成一个单稳态触发器,其中R、C是外接定时元件。单稳态触发器的输出脉冲宽度t w ≈1.1RC。 图4-6 (2)组成自激多谐振荡器 图4-7 自激多谐振荡器电路 按图4-7连接,即连成一个自激多谐振荡器电路,此电路的工作过程

单片机课程设计外部脉冲计数器

目录 摘要:单片机是一种集成在电路芯片,是采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU、随机存储器RAM、只读存储器ROM、多种I/O口和中断系统、定时器/计时器等功能集成到一块硅片上构成的一个小而完善的计算机系统。本课程设计的指导思想是控制单片机实现从0到99的计数功能,其结果显示在两位一体的共阳极数码管上。 关键词:脉冲计数器数码管单片机 本设计基于单片机技术原理,以单片机芯片STC89C52作为核心控制器,通过硬件电路的制作以及软件程序的编制,设计制作出一个计数器,包括以下功能:输出脉冲,按下键就开始计数,并将数值显示在两位一体的共阳极数码管上。 1课题原理 PCB板上设置开始计数按键和清零按键,以上按键与89C52单片机的P1口连接,通过查询按键是否被按下来判断进行计数或者清零。若按下计数健,则单片机控制两位一体的共阳极数码管显示从00开始的数字,按下一次,则数字加一,一次类推;若按下清零键,则程序返回程序开始处,并且数码管显示00。

2 硬件及软件设计 2.1 硬件系统 2.1.1 硬件系统设计 此设计是在单片机最小系统的基础上进行开发和拓展,增加了按键电路和和数码管显示电路,由于单片机输出电流不足以驱动数码管发光,所以数码管需要驱动电路。我们采用了三极管对数码管电流进行放大,使电流大小达到要求值。 2.1.2 单元电路设计 基本框架如下图2.1 2.1基本框架

1.STC89C52芯片 STC89C52RC芯片包括: 8k字节 Flash,512字节RAM, 32位I/O口 线,看门狗定时器,两个数据指针, 三个16位定时器/计数器,一个6向 量2级中断结构,全双工串行口,片 内晶振及时钟电路。STC89C52RC芯片 可降至0Hz静态逻辑操作,时钟频率 0-80MHz,支持2种软件可选择节电 模式。空闲模式下,CPU停止工作, 允许RAM、定时器/计数器、串口、中 断继续工作。掉电保护方式下,RAM 内容被保存,振荡器被冻结,单片 机一切工作停止,直到下一个中断或 硬件复位为止。8位微控制器8K字节 在系统可编程。芯片如图2.4所示。 图2.4 STC89C52芯片 2.按键电路 K1键为启动键,K2键为清零键,K3键为计数键,通过按钮的连接,实现开始、计数清零功能,连接电路如图2.5所示。 图2.5 按键电路

51单片机计数程序

/**************程序说明********************* 硬件说明只需要将15脚接口接入外部的脉冲信号,15脚为定时器T1的外部信号输入引脚 通过定时器T0定时指定的时间来测取脉冲的个数并在1602液晶上显示出来 假设定时1s则数据变成为信号的频率 *************************************************** *****/ #include #include //定时器0负责定时30s 定时器1负责计数功能 #define uint unsigned int #define uchar unsigned char sbit RS=P2^6; //液晶的电路定义 sbit E=P2^5; unsigned char table[3]; unsigned int t0;

void delay_ms(uint z) //毫秒级延时 { uint x,y; for(x=z;x>0;x--) for(y=110;y>0;y--); } /******液晶部分子程序*************************/ void write_com(unsigned char com) { //操作时序 RS=0; P0=com; delay_ms(5); E=1; delay_ms(5); E=0; } void write_data(unsigned char shuju) { //操作时序

RS=1; P0=shuju; delay_ms(5); E=1; delay_ms(5); E=0; } void LCDintial() { delay_ms(5); E=0; write_com(0x38); write_com(0x0c); write_com(0x06); write_com(0x01); write_com(0x80); } /**********定时器初始化程序***************/ void T0T1_init() { EA=1;

实验8 脉冲信号产生电路

实验8 脉冲信号产生电路 一、实验目的 1. 掌握用基本门电路构成多谐振荡器的方法。 2. 熟悉单稳态触发器的工作原理和参数选择。 3. 熟悉施密特触发器的脉冲整形和应用。 二、实验原理 脉冲信号产生电路是数字系统中必不可少的单元电路。如同步信号、时钟信号和时基信号等都由它产生。产生脉冲信号的电路通常称为多谐振荡器。它不需信号源,只要加上直流电源,就可以自动产生信号。脉冲的整形通常应用单稳态触发器或施密特触发器实现。 脉冲信号的产生与整形可以用基本门电路来实现。现在已经有集成单稳态触发器、集成施密特触发器。另外用555 定时器也可以产生脉冲或实现脉冲整形。本实验主要研究用基本门电路组成的脉冲产生和整形电路。 1. 多谐振荡器 (1) TTL 门电路构成的多谐振荡器 由于 TTL 门电路 速度快,它 适宜于产生 中频段脉冲 源,图2.8.1 是由TTL 反向器构成的全对称多谐振荡器,若取C1= C2 = C,R1= R2= R,则电路完全对称,电容充放电时间相等,其振荡周期近似为T=1.4 RC。一般R1、R2的取值不超过1K,若取R1= R2 = 500Ω ,C1= C2=100pF~100μF,则其振荡频率的范围为几十赫到几十兆赫。 (2) 环形多谐振荡器 图 2.8.2 是用TTL 与非门构成的环形多谐振荡器,图中取R1=100Ω ,R W在2kΩ ~50kΩ之间变化,可调电容C的变化范围是100pF 到50μF,则振荡频率可从数千赫变到数兆赫。电路的振荡周期为T= 2.2 RC,其中R = R1+R W。

(3) 晶体振荡器 用TTL 或CMOS 门电路构成的振荡器幅度稳定性较好,但频率稳定性较差,一般只能达到10-2~10-3数量级。在对频率的稳定度、精度要求高的场合,选用石英晶体组成的振荡器较为适合。其频率稳定度可达10-5以上。图2.8.3 是用CMOS 芯片CD4069 和 晶体构成的多谐振荡器,C o一般取20pF。C S取10~30pF,其输出频率取决于晶体的固有振荡频率。 2. 单稳态触发器 稳态触发器的特点是它只有一个稳定状态,在外来脉冲的作用下,能够由稳定状态翻转到暂稳态。暂稳态维持一段时间TW 以后,将自动返回到稳定状态。TW大小与触发脉冲无关,仅取决于电路本身的参数。单稳态触发器一般用于定时、整形及延时等。单片集成的单稳态触发器有74LS122,CC4098 等。 图 2.8.4 是用与非门构成的微分型单稳态触发器,其输出脉冲宽度为:Tw= 0.8RC。 3. 施密特触发器 施密特触发器的特点是:电路有两个稳定状态,电路状态的翻转依靠外触发电平来维持。一旦外触发电平下降到一定电平 后,电路 立即恢复 到初始稳 态。其工

单片机的定时及计数器实验-脉冲计数器

实验六单片机定时、计数器实验2——脉冲计数器 一、实验目的 1.AT89C51有两个定时/计数器,本实验中,定时/计数器1(T1)作定时器用,定时1s;定时/计数器0(T0)作计数器用。被计数的外部输入脉冲信号从单片机的P3.4(T0)接入,单片机将在1s内对脉冲计数并送四位数码管实时显示,最大计数值为0FFFFH。 2.用proteus设计、仿真基于AT89C51单片机的脉冲计数器。 3.学会使用VSM虚拟计数/计时器。 二、电路设计 1.从PROTEUS库中选取元件 ①AT89C51:单片机; ②RES:电阻; ③7SEG-BCD- GRN:七段BCD绿色数码管; ④CAP、CAP-ELEC:电容、电解电容; ⑤CRYSTAL:晶振; SEG-COM- GRN为带段译码器的数码管,其引脚逻辑状态如图所示。

对着显示的正方向,从左到右各引脚的权码为8、4、2、1。 2.放置元器件 3.放置电源和地 4.连线 5.元器件属性设置 6.电气检测 7.虚拟检测仪器 (1)VSM虚拟示波器 单击小工具栏中的按钮,在对象选择器列表中单击COUNTER(计 数/计时器),打开其属性编辑框,单击运行模式的下拉菜单,如图所示,可选择计时、频率、计数模式,当前设置其为频率计工作方式。 (2)数字时钟DCLOCK 单击按钮,在对象选择器中选择DCLOCK(数字时钟)。在需要添加信 号的线或终端单击即可完成添加DCLOCK输入信号。当前信号设置为DIGITAL型的时钟CLOCK,频率为50K。 三、源程序设计、生成目标代码文件 1.流程图 2.源程序设计 通过菜单“sourc e→Add/Remove Source Files…”新建源程序文件:DZC36.ASM。 通过菜单“sourc e→DZC36.ASM”,打开PROTEUS提供的文本编辑器SRCEDIT,在其中编辑源程序。 程序编辑好后,单击按钮存入文件DZC32.ASM。 3.源程序编译汇编、生成目标代码文件 通过菜单“sourc e→Build All”编译汇编源程序,生成目标代码文件。

PWM信号发生电路

1.P W M信号概述 脉冲宽度调制(PWM)信号广泛使用在电力变流技术中,以其作为控制信号可完成DC-DC 变换(开关电源)、DC-AC变换(逆变电源)、AC-AC变换(斩控调压)和AC-DC变换(功率因数校正)。 产生PWM信号的方法有多种,现分别论述如下: 1)普通电子元件构成PWM发生器电路 基本原理是由三角波或锯齿波发生器产生高频调制波,经比较器产生PWM信号。三角波或锯齿波与可调直流电压比较,产生可调占空比PWM信号;与正弦基波比较,产生占空比按正弦规律变化的SPWM信号。 此方法优点是成本低、各环节波形和电压值可观测、易于扩展应用电路等。缺点是电路集成度低,不利于产品化。 2)单片机自动生成PWM信号 基本原理是由单片机内部集成PWM发生器模块在程序控制下产生PWM信号。 优点是电路简单、便于程序控制。缺点是不利于学生观测PWM产生过程,闭环控制复杂和使用时受单片机性能制约。 3)可编程逻辑器件编程产生PWM信号 基本原理是以复杂可编程逻辑器件(CPLD)或现场可编程门阵列器件(FPGA)为硬件基础,设计专用程序产生PWM信号。 优点是电路简单、PWM频率和占空比定量准确。缺点是闭环控制复杂,产生SPWM信号难度大。 4)专用芯片产生PWM信号 是生产厂家设计、生产的特定功能芯片。 优点是使用方便、安全,便于应用到产品设计中。缺点是不利于学生观测PWM产生过程和灵活调节各项参数。 2.电子元件构成PWM发生器电路 图1电子元件构成PWM发生器电路 3.集成芯片SG3525构成PWM发生器电路 一、PWM信号发生电路说明 实验电路中,驱动开关管的PWM信号由专用PWM控制集成芯片SG3525产生(美国

单片机脉冲计数

单片机实验报告 班级:自动0903 姓名:

一.软件实验 实验题目:脉冲计数(定时/计数器实验) 1,实验目的:熟悉单片机内部定时/计数器功能,掌握初始化编程方法。 2,实验内容:把外部中断0输入的脉冲进行计数,并送数码管显示。 仿真电路图如下所示:设定频率发生器为50Hz 程序如下: #include sbit P2_0=P2^0; //数码管选定位 sbit P2_1=P2^1; sbit P2_2=P2^2; sbit P2_3=P2^3; unsigned char code table[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,

0x82,0xf8,0x80,0x90,0x88,0x83,0xc6,0xa1,0x86,0x8e}; unsigned int motorspeed; unsigned char GE,SHI,BAI,QIAN; unsigned int counter=0; //脉冲数 unsigned int calsp; //设定多长时间计算一次 void display(); //数码管显示 void delay(); //延迟函数 void calspeed(); void main() { EA=1; //开启总中断 EX0=1; //开启外部中断0 IT0=1; //设置成下降沿触发方式 TMOD=0x01; //设置定时器0为模式1,即16位计数模式 TH0=(65536-10000)/256; //计数时间为10ms TL0=(65536-10000)%256; ET0=1; //开启定时器0中断 TR0=1; //启动定时器0 P2=P2&0xf0; while(1) { display(); calspeed(); } } void calspeed() { if(calsp>=100) //100*10ms=1s计算一次 { motorspeed=counter; counter=0; //清零脉冲数 calsp=0; //清零标志 } } void _TIMER0() interrupt 1 { TH0=(65536-10000)/256; //重新装入初值,计数时间为10ms TL0=(65536-10000)%256; calsp++; }

单片机实验 脉冲计数和电脑时钟程序

南昌航空大学实验报告 二0一一年九月二十九日 课程名称:单片微型机实验名称:脉冲计数和电脑时钟程序 班级: 080611 学生姓名:学号: 08061108 指导教师评定:签名: 一、实验目的 1、熟悉8031定时/计数功能,掌握定时/计数初始化编程方法; 2、熟悉MCS—51定时器、串行口和中断初始化编程方法,了解定时器应用在实时控制中程序的设计技巧; 3、编写程序,从DVCC系列单片机实验仪键盘上输入时间初值,用定时器产生0.1S定时中断,对时钟计数器计数,并将数值实时地送数码管显示。 二、实验内容及要求 1、脉冲计数 对定时器0外部输入的脉冲进行计数,并送显示器显示。程序框图如下: 图1 二进制转换子程序 2、电脑时钟程序程序 程序框图如下:

图2 定时中断服务程序 三、实验步骤及操作结果 1、脉冲计数程序 (1) 当DVCC 单片机仿真实验系统独立工作时 1) 把8032CPU 的P3.4插孔接T0—T7任一根信号线或单脉冲输出空“SP ”。 2)用连续方式从起始地址02A0H 开始运行程序(按02A0后按EXEC 键)。 3)观察数码管显示的内容应为脉冲个数。 (2) 脉冲计数程序(源文件名:Cont .Asm )。汇编程序代码如下: ORG 02A0H CONT: MOV SP,#53H MOV TMOD,#05H ;初始化定时/计数器 MOV TH0,#00H MOV TL0,#00H SETB TR0 ;允许定时/计数中断 CONT1: MOV R2,TH0 ;取计数值 MOV R3,TL0 LCALL CONT2 ;调二转十进制子程序 MOV R0,#79H MOV A,R6 LCALL PWOR MOV A,R5 LCALL PWOR MOV A,R4 LCALL PWOR LCALL DISP ;调显示子程序 SJMP CONT1 ;循环 CONT2: CLR A ;清R4、R5、R6 MOV R4,A MOV R5,A MOV R6,A MOV R7,#10H CONT3: CLR C ;R2、R3左移,移出的位送CY MOV A,R3 RLC A

模拟电路数字电路的脉冲电路信号处理

如何看懂脉冲电路 2010-06-2215:28:07作者:来源:21IC电子网 脉冲电路是专门用来产生电脉冲和对电脉冲进行放大、变换和整形的电路。家用电器中的定时器、报警器、电子开关、电子钟表、电子玩具以及电子医疗器具等,都要用到脉冲电路。 在电子电路中,电源、放大、振荡和调制电路被称为模拟电子电路,因为它们加工和处理的是连续变化的模拟信号。电子电路中另一大类电路的数字电子电路。它加工和处理的对象是不连续变化的数字信号。数字电子电路又可分成脉冲电路和数字逻辑电路,它们处理的都是不连续的脉冲信号。 电脉冲有各式各样的形状,有矩形、三角形、锯齿形、钟形、阶梯形和尖顶形的,最具有代表性的是矩形脉冲。要说明一个矩形脉冲的特性可以用脉冲幅度Um、脉冲周期T或频率f、脉冲前沿t r、脉冲后沿t f和脉冲宽度t k来表示。如果一个脉冲的宽度t k=1/2T,它就是一个方波。 脉冲电路和放大振荡电路最大的不同点,或者说脉冲电路的特点是:脉冲电路中的晶体管是工作在开关状态的。大多数情况下,晶体管是工作在特性曲线的饱和区或截止区的,所以脉冲电路有时也叫开关电路。从所用的晶体管也可以看出来,在工作频率较高时都采用专用的开关管,如2AK、2CK、DK、3AK 型管,只有在工作频率较低时才使用一般的晶体管。 就拿脉冲电路中最常用的反相器电路(图1)来说,从电路形式上看,它和放大电路中的共发射极电路很相似。在放大电路中,基极电阻R b2是接到正电源上以取得基极偏压;而这个电路中,为了保证电路可靠地截止,R b2是接到一个负电源上的,而且R b1和R b2的数值是按晶体管能可靠地进入饱和区或止区的要求计算出来的。不仅如此,为了使晶体管开关速度更快,在基极上还加有加速电容C,在脉前沿产生正向尖脉冲可使晶体管快速进入导通并饱和;在脉冲后沿产生负向尖脉冲使晶体管快速进入截止状态。除了射极输出器是个特例,脉冲电路中的晶体管都是工作在开关状态的,这是一个特点。

用单片机计数脉冲的个数-数码管显示汇编程序

用单片机计数脉冲的个数-数码管显示汇编程序 位数码管用2个573接到p0口,位选p2 段选P0口:点这里采用定时器来记录脉冲并用数码管输出以下是源代码:;******** 用数码管来计数脉冲的个数********************************** ORG 0000H JMP MAIN ORG 000BH JMP TT0 ORG 001BH JMP TT1 MOV 23H,#00H MOV 22H,#00H MOV 21H,#00H MOV 24H,#10 CALL IIP CALL IIP CALL IIP MOV SP,70H;=====主程序区==================================== MAIN: MOV TMOD,#01100010B MOV TH1,#255 MOV TL1,#255 MOV IE,#10001010B MOV TH0,#00H MOV TL0,#00H SETB TR0 SETB TR1 JMP $;======分解计数程序=================================== TT1:CALL IIP INC 20H MOV A,20H MOV B,#100 DIV AB MOV 21H,A MOV A,B MOV B,#10 DIV AB MOV 22H,A MOV 23H,B RETI;======数码管显示程序区==================================== IIP: MOV A,23H CALL TABLE MOV P0,A SETB P2.0 NOP NOP NOP NOP CLR P2.0 MOV P0,#10111111b SETB P2.1 NOP NOP NOP CLR P2.1 CALL T10MS;================================================== MOV A,22H CALL TABLE MOV P0,A SETB P2.0 NOP NOP NOP NOP CLR P2.0 MOV P0,#11011111B SETB P2.1 NOP NOP NOP CLR P2.1 CALL T10MS;=================================================== MOV A,21H CALL T10MS CALL TABLE MOV P0,A SETB P2.0 NOP NOP NOP NOP CLR P2.0 MOV P0,#11101111B SETB P2.1 NOP NOP NOP CLR P2.1 CALL T10MS RET;=====定时0程序区====================================== TT0: DJNZ 24H,LOOP_9 CPL

单片机脉冲计数及显示课程设计

目录 ? 1. 设计思想和设计说明----------------------- ? 2. 硬件原理框图-----------------------------3 ? 3. 硬件原理图与其软件配合介绍---------------3 ? 4. 程序存储器和数据存储器的单元分配---------6 ? 5. 程序流程图-------------------------------7 ? 6. 源程序清单-------------------------------9 ?7. 芯片资料---------------------------------12 ?8. 仿真结果(打印仿真图)-------------------17 ?9. 参考文献---------------------------------19 一设计思想和设计说明

设计思想: 本次课程设计采用一个可扩展芯片AT89C51,四个7段LED数码管显示器,经过电阻连接而组成,并具有开关复位等功能。首先在keil软件中输入计数器程序,在生成以hex为后缀名的文件,拷贝添加到芯片AT89C51中以实现其功能。其中芯片的P0.0到P0.7和P1.0到P1.7分别和四个7段LED数码管显示器相连接,作为0000-9999计数的十位数显示,在练习使用译码器驱动7段LED数码管显示器的同时,芯片AT89C51的由12MHZ的晶振提供脉冲支持芯片工作。 设计说明: 在汇编程序中可将十六进制转换变为十进制输出显示,即使得计数器的初始状态为0000,当第1个计数脉冲来到后,其状态为0001,以后来一个脉冲计一次数,依次可计数到9999后清零,计数器是采用数字电路实现的对个,十,百,千位的数字显示技术装置,能广泛应用于实验室,公共场合,以及实际生活中的一些应用,是人们日常生活中不可少的必需品。由于数字集成电路以及555振荡器的广泛应用,使得计数器的精度大大的提高。给人们的生活带

单片机单脉冲计数设计

农业大学机械交通学院 《单片机技术与应用》 课程设计说明书 题目:定时脉冲计数器电路设计 专业班级:电气092班 学号: 学生: 指导教师: 时间:2012年6月

设计9、定时脉冲计数器电路设计 小组成员: 任务分配如下: 四人:调研、查找资料 :整合资料、硬件电路组成框图 :各单元电路及工作原理、绘制原理图(原理仿真) :元件参数计算、元件清单列表(元件参数)(该篇论文侧重点):绘制程序流程图、汇编程序 四人:程序仿真(整体Proteus和Keil) 四人:调试与仿真 四人:硬件搭建调试 :设计说明书

目录 1 设计目的 (1) 2 设计容 (1) 3 设计过程 (1) 3.1 硬件电路框图 (1) 3.2 搜集元件资料 (2) 3.3 各个单元及电路原理 (3) 3.4 绘制原理图 (5) 3.5 元件参数计算 (6) 3.6 元件清单列表 (10) 3.7 绘制程序流程图 (10) 3.8 汇编程序 (10) 3.9 调试与仿真 (11) 3.10 硬件调试结果 (12) 4 心得体会 (12) 参考文献 (13)

定时脉冲计数器电路设计 1设计目的 课程设计是培养学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程.随着科学技术发展的日新日异,单片机已经成为当今计算机应用中空前活跃的领域,在生活中可以说得是无处不在。因此作为二十一世纪的大学来说掌握单片机的开发技术是十分重要的。通过典型实际问题的实际,训练学生的软硬件的综合设计、调试能力以及文字组织能力,建立系统设计概念,加强工程应用思维方式的训练,同时对教学容做一定的扩充。 2设计容 以小组为单位用AT89C51单片机的定时/计数器产生1 s的定时时间,作为秒计数时间,当1 s产生时,秒计数器加1:秒计数器到60时,自动复位从0开始。要求:(1)用LM7805CT设计交流220 V转5 V直流电源。 (2)用单片机AT89C51的定时器实现60 s计时。 (3)用PROTEUS设计,仿真基于AT89C51单片机的60 s计时实验。 (4)用面包板搭建设计电路,实际运行调试。 3 设计过程 3.1 硬件电路框图 根据实验要求,结合已有的元件绘制实验框图(图3-1)

单片机脉冲计数电路设计 毕业设计论文

本科毕业论文 (2012届) 题目单片机脉冲计数电路设计 学院理学院 专业应用物理专业 班级08073211 学号08072121 学生姓名xx 指导教师xx 完成日期2011年12月

摘要 随着单片机技术和电子技术的不断发展(单片机在医学仪器领域得到广泛应用,其在生物医学信号处理和控制中发挥着越来越重要的作用。各种生物医学信号经过放大、滤波等处理后(可将模拟信号转换为数字信号送到单片机处理(此外还可以通过单片机根据处理结果对医疗仪器进行控制)。可以更精确简单便携的检测脉搏、心跳、血压等数据。因此本论文所做脉冲计数系统采用了以单片机(PIC16F877A)为核心,结合相关的外围元器件例如六位8段数码管、复位电路,再配以相应的软件,达到制作简易脉冲计数器的目的。以C语言为程序设计的基础,利用PIC单片机独有的CCP捕捉模式能实现脉冲的检测计算,频率实时显示在数码管上。 关键词:PIC16F877A;CCP捕捉模式;脉冲;C语言

ABSTRACT With the single chip microcomputer technology and electronic technology development of microcomputer in the medical instrument (was widely used in the, in the biomedical signal processing and control a more and more important role in biological medicine. Various signal amplified, filtering processing (analog signal after can be converted to digital signals to SCM processing (also can through the MCU according to deal with the results of the medical instrument control). It will be more accurate, simple and portable for the detection of heart beating, blood pressure and pulse data. This research of the digital clock with the single chip processor (PIC16F877A) as the core, combining related peripheral components such as six 8 period of digital tube and reset circuit, matching again with the corresponding software to create a simple counter to the purpose of the pulse. C language program is designed for the foundation, PIC microcontroller unique CCP capture mode is used to realize pulse test calculation, frequency real-time display in digital tubes. Keywords: PIC16F877A;CCP Capture mode;Pulse;C Language

PWM信号发生电路

1.PWM 信号概述 脉冲宽度调制(PWM )信号广泛使用在电力变流技术中,以其作为控制信号可完成DC-DC变换(开关电源)、DC-AC变换(逆变电源)、AC-AC变换(斩控调压)和AC-DC 变换(功率因数校正)。 产生PWM 信号的方法有多种,现分别论述如下: 1)普通电子元件构成PWM 发生器电路基本原理是由三角波或锯齿波发生器产生高频调制波,经比较器产生PWM 信号。三角波或锯齿波与可调直流电压比较,产生可调占空比PWM 信号;与正弦基波比较,产生占空比按正弦规律变化的SPWM 信号。 此方法优点是成本低、各环节波形和电压值可观测、易于扩展应用电路等。缺点是电路集成度低,不利于产品化。 2)单片机自动生成PWM 信号 基本原理是由单片机内部集成PWM 发生器模块在程序控制下产生PWM 信号。 优点是电路简单、便于程序控制。缺点是不利于学生观测PWM 产生过程,闭环控制复杂和使用时受单片机性能制约。 3)可编程逻辑器件编程产生PWM 信号基本原理是以复杂可编程逻辑器件(CPLD )或现场可编程门阵列器件 (FPGA)为硬件基础,设计专用程序产生PWM信号。 优点是电路简单、PWM 频率和占空比定量准确。缺点是闭环控制复杂,产生SPWM 信号难度大。 4)专用芯片产生PWM 信号 是生产厂家设计、生产的特定功能芯片。优点是使用方便、安全,便于应用到产品设计中。缺点是不利于学生观测 PWM 产生过程和灵活调节各项参数。 2. 电子元件构成PWM发生器电路

图1电子元件构成PWM 发生器电路 3. 集成芯片SG3525构成PWI 发生器电路 、PWM 信号发生电路说明 实验电路中,驱动开关管的 PWM 信号由专用PWM 控制集成芯片SG3525 产生(美国Silicon General 公司生产),PWM 信号发生器电路如图 图2 PWM 信号发生器电路图 SG3525采用恒频脉宽调制控制方案,内部包含有精密基准源、锯齿波振荡 器、误差放大器、比较器、分频器和保护电路等。调节 Ur 的大小,在OUTA 、 2所示。 I l 22K Rw Ur 10K R2K R5 -CZI 10K J' R2 10 R1 =±C1 15K 0.01uF VREF VCC OSC OUT VC SYNC RT D ISC OUT A CT OUT B CMPEN IN+ IN - SS GND SD +15V 15 T + 15 T HF R6 10K V_G Dz R9 30K Dz PWM 13 12 10 ----- S G3525AN 8 4148 11 14 16 4 3 6' 7 5 9 "2 1 光电隔离 上C2 T~ 100uF

单片机实验设计脉冲计数实验

实验八脉冲计数实验 一、实验目的: (1)掌握定时器/计数器的计数工作方式; (2)掌握用定时器/计数器实现脉冲计数的方法; (3)掌握用Keil实现软件调试的方法; (4)掌握用Proteus实现电路设计,程序设计和仿真的方法。 二、实验内容: 定时器0外部输入端(P3.4)和定时器1外部输入端(P3.5)作为计数脉冲输入端,利用按钮手控产生单脉冲信号作为计数输入脉冲,编写程序控制,每输入一个(5个)脉冲,工作寄存器R0(R1)的内容加1,同时将R0(R1)的内容送到两位LED数码管中显示出来,晶振频率6MHZ。 三、主要器件的型号: 四、实验参考电路: P1口接两位数码管显示工作寄存器R0的内容,P2口接两位数码管显示工作寄存器R0的内容,两只按钮分别接P3.2(外部中断0中断输入端)和P3.3(外部中断1中断输入端)。实验电路如图:

五、实验参考程序: ORG 0000H LJMP MAIN 指向主程序; ORG 000BH 定时器/计数器0的入口地址; LJMP T0C 指向中断服务程序; ORG 001BH 定时器/计数器1的入口地址; LJMP T1C 指向中断服务程序; ORG 0030H MAIN: MOV R0,#00H 清零; MOV R1,#00H MOV P1,#00H MOV P2,#00H MOV TMOD,#66H 置计数器0,计数器1为方式2; MOV TH0,#0FFH 置1次计数初值; MOV TL0,#0FFH MOV TH1,#0FBH 置5次计数初值; MOV TL1,#0FBH SETB EA 开中断; SETB ET0 SETB ET1 SETB TR0 启动计数器0; SETB TR1 启动计数器1; SJMP $

单片机脉冲宽度测量程序

AJMP MAIN ORG 0003H AJMP INTR0 ORG 0100H ;**********************初始化********************************* MAIN: MOV DPTR,#TAB MOV SP,#60H ; MOV TMOD,#09H;工作在门控方式(GATE=1),定时器t0工作方式1 MOV TL0,#00H ;计数清零 MOV TH0,#01H MOV IE,#81H ;开放定时器中断和总中断和外部中断0 MOV TCON,#11H MOV R5,#05H LOOP: ACALL BCD ;十六位二进制转换十进制的BCD码 ACALL UBCD ;把压缩BCD码转换成非压缩BCD码 LOOP1: ACALL LED ;显示 ACALL DELAY DJNZ R5,LOOP1 MOV R5,08H AJMP LOOP ;********************外部中断0服务程序************ INTR0: MOV 41H,TH0 MOV 40H,TL0 MOV TH0,#01H MOV TL0,#00H;重新将定时器T0赋初值 RETI ;********************二—十进制转换************* BCD: CLR A ;将41H,40H中值转换成BCD码存入3AH,3BH,3CH中MOV 3EH,40H MOV 3FH,41H MOV 3AH,A MOV 3BH,A MOV 3CH,A MOV R7,#16 LOOP2: CLR C MOV A,3EH RLC A MOV 3EH,A MOV A,3FH RLC A MOV 3FH,A

相关文档