文档库 最新最全的文档下载
当前位置:文档库 › ABB CBF功能块之七

ABB CBF功能块之七

ABB CBF功能块之七
ABB CBF功能块之七

模拟功能块

C1模拟功能块概述

说明

CT-ANA 模拟输入计数器

LIN 线性化

C_ANA 设定点控制器

AI_TR 模拟输入转换

AI_TRT 模拟输入转换瞬变

AO_TR 模拟输出转换

SCAL 标度变换

DELAY 延迟

TDEAD 死区时间功能块

TAVER 时间平均值

TMAX 最大时间值

TMIN 最小时间值

TFILT 模拟时域滤波器

LD_LG 超前/滞后滤波器

TS 时间计划表

C2 模拟输入计数器CT_ANA

(图C-6页)

根据计数器的参数定义,可以按照固定的周期,用外部信号或操作人员直接复位计数器,输出OFL端用一个逻辑1信号指示一个可定义的循环数。

输出包括当前计数器读数CTC,上一循环的最终计数值在CTP端输出,CTP信号在下一次复位前一直保持。

限位值(消息)对应的是当前周期的当前计数器读数。

该功能块必须运行在等间隔的间隔任务。

显示:

名称,短文本,量程和物理单位。

计数器当前读数CA(引脚CTC),上一周期计数器读数CP(引脚CTP)。

基值BV(引脚PR)显示为数字值。

当前计数器读数CTC和上一周期计数器读数CTP还显示为条状图。

限位值L1和L2显示为数字值,标识在相应的显示条中。

如果配置为可以复位,则显示复位按钮。

操作说明:

可以改变基值BV(引脚PR)和限位值L1、L2(引脚L1、L2),可以配置计数器是否允许复位。

消息确认

C2.1 参数:模拟输入计数器CT_ANA

(图C-7页)

Scale start: 零点,以实数形式输入

Scale end: 量程,以实数形式输入

Dimension: 物理单位,以文本形式输入或从列表中选择

Reset counter by: (用什么复位计数器):

Reseting value: 超过定义的最大允许值时复位

如果输入为空白,复位值则无效.调试时显示值为0.0,该值不

能改变

Period duration: 经过一人固定周期时间复位,如T#2h(2小时复位一次).如果

输入为空白,则周期无效,即永远运行。在这种情况下,调试

时没有值,也不能改变。

Time lase: 用于也输入积分的时间单位

秒、分:时或天。

Cycle count:

复位后,OFL输出以逻辑1信号表明的循环号(1-99)For OFL

Access

Basic value ?预设置值可以修改。

?预设置值不能修改。

Reset ?可以复位

不可以复位

Messages 见B部份,通用说明和概述。

最多两个限位值。

C2.2 功能块数据:模拟输入计数器CT_ANA

基值PR和限位值L1、L2只能通过相应的输入引脚访问。

名称数据类型配置访问说明

输入端

EN 布尔型YES 读写使能 TRUE:功能块在运行

IN 实型NO 读写输入信号

BES 布尔型NO 读写复位信号

PR 实型YES读写预置值

L1 实型YES读写限位值1

L2 实型YES读写限位值2

输出端

ENO 布尔型NO 只读过程状态。TRUE:功能块在运行

CTC 实型NO只读上一周期以来的计数器当前值

CTP 实型NO只读上周期的计数器读数

OFL 布尔型NO只读输出信号TRUE代表可参数化的时间SL1 布尔型NO只读TRUE如果超出限位值1

SL2 布尔型NO只读TRUE如果超出限位值2

参数定义伪码

Zua 整型YES 读写输出的循环号

Mba 实型YES读写测量范围零点

Mbe 实型YES读写测量范围量程

Dim 文本型YES无访问物理单位

Uw 实型YES读写复位值

Pd 时间型YES读写复位周期

Ltf 布尔型YES无访问访问基值

Lr 布尔型YES无访问访问复位参数

Pr 布尔型NO 读写复位参数

Zb 整型YES 读写时基0=秒 1=分 2=小时 3=天

Pzp 实型NO 只读上一周期的计数器显示值

Pzl 实型NO 只读当前周期的计数器显示值

Hy1 实型YES 读写限位值1的滞后

Lf1 布尔型YES 无访问访问限位值1

Mp1 消息1

Hy2 实型YES 读写限位值2的滞后

Lf12 布尔型YES 无访问访问限位值2

Mp2 消息2

C3 线性化,LIN

(图L-10页)

功能:该功能块按IEC584-1或IEC751标准把热电偶电后或电阻阻值转换成温度。

线性化校正值误差限制为0.1%

可用于下列型号的传感器:

可以定义(最多)32度的线性化.可以自由定义联结点数量。如果第一个输入域小于或等于前驱X(i),则将其作为线性化曲线的终点。 ERR 输出端的设置如下:

输入端IN 的输入超出可接受的范围时,STA 输出为1 在实数范围内发生一个内部计算错误时,STA 输出为9 用户定义的特性曲线中联结点太少,输出STA=2 显示:无

操作说明:无

C3.1参数:线性化,LIN (图C-11上)

Characteristic ?选择特性类型

Curve(特性曲线)

如果选择用户自己定义,则用户可以自由定义线性化曲线。选择后,在第二个和第三个对话框显示中输入各种联结点。最多可定义32段曲线。

(图C-11下)

I

联结点号0-32

X(i) 联结点(i)的X值,以实数形式输入,当X(i)值小于或等于前驱时

线性化到达终点。

Y(i) 联结点(i)的Y值,以实数形式输入

C3.2 功能块数据:线性化,LIN

名称数据类型配置访问说明

输入端

EN 布尔型YES 读写使能 TRUE:功能块在运行

IN 实型NO 读写输入信号

输出端

ENO 布尔型NO 只读过程状态。TRUE:功能块在运行

OUT 实型NO只读输出信号

ERR 布尔型NO只读TRUE:功能块出错

STA 整型NO只读功能块的错误状态代码

参数定义伪码1

KI 整型YES 只读特性曲线

0=用户定义 1=R型 2=S型

3=J型 4=T型 5=E型

6=K型 7=Pt型 8=B型

9=L型

参数定义伪码2

S1-17 实型YES 读写输出域Y1-Y17

S34-54 实型NO只读输出域Y34-Y54

EW1-17 实型YES读写输入域X1-X17

EW34-54 实型NO只读输入域X34-X54

参数定义伪码3

S18-33 实型YES 读写输出域Y18-Y33

EW18-33 实型NO读写输入域Y18-Y33

C4 设定点控制器:C_ANA

(图C-13页)

功能:该功能块用于无控制器功能的模拟值输入,如微为设定点站或乘法器.在自动方式模拟信号在IN端输入.在手动方式可以处理手动值。

如果将其配置为称重器,比值RAT和偏差BIA用到输出端OUT.

输出OUT用下表计算

OUT:输出 IN:输入 MV:手动值

可以用输入信号MI和ME,MM和MA改变工作方式内部和外部,自动和手动。如果输入对都设置为逻辑1信号,功能块将工作在内部手动工作方式。

可以在输出端SIE和SMA查询当前工作方式,内部=逻辑0,外部=逻辑1。

手动=逻辑0 自动=逻辑1

自动方式时用INPUT端IN输入代替手动值。

比值必须大于0

偏差值的允许范围为[-量程……+量程]

在外部方式时,如果输入信号超出范围,功能块将按相应的限位值进行运算.

输出ERR设置为:

在自动方式输入IN超出范围限制时,输出STA=1

在手动方式手动值超出范围限制时,输出STA=8

在实数范围内出现内部计算错误时,输出STA=2

显示:

名称,短文本,量程范围和物理单位

输出值OUT(引脚OUT),手动值MV,比值R(固定值或引脚RA T)和偏差B (固定值或引脚BIA)显示为数字值,输出值OUT显示为条状图。

操作说明

用按钮M和A完成工作方式自动/手动之间的转换。

用按钮I/E进行内部/外部工作方式之间的转换。

改变手动值NV(只有在手动方式时才可以),改变比例和偏差的内部值。

C4 .1参数:设定点控制器:C_ANA

(图C-14页)

scale start:零点,以实数形式输入

scale end:量程,以实数形式输入

dimension:物理单位,以文本形式输入或从列表框选择。

Initial manual value:手动方式的初始值,如果没有乘法运算则手动值必须在范围内。

Ratio:乘法运算中的比值,比值不允许小于或等于零。

Bias:乘法运算中附加的常数,偏差只能在[-量程……+量程]之间。

Access: ?值可以修改

?值不可以修改

Multiplier enable:?在自动方式中使用模拟输入IN。在手动方式输出值OUT等于手动值X比值+偏差。

?在自动方式,输出OUT等于模拟输入IN,在手动方式OUT等于手动值。

Internal: ?以内部方式启动

External: ?以外部方式启动

Manual: ?以手动方式启动

Automatil: ?以自动方式启动

Lock External: ?不允许转换到内部方式

?可以转换到内部方式

Lock External: ?不允许转换到外部方式

?可以转换到外部方式

Lock Manual: ?不允许转换到手动方式

?可以转换到手动方式

Lock Automatil:?不允许转换到自动方式

?可以转换到自动方式

C4.2 功能块数据:设定点控制器:C_ANA

名称数据类型配置访问说明

输入端

EN 布尔型YES 读写使能 TRUE:功能块在运行

IN 实型NO 读写输入信号

RAT 实型NO读写比值

BIA 实型NO读写输入的偏差

MM 布尔型NO读写手动方式

MA 布尔型NO读写自动方式

MI 布尔型NO读写设定点工作在内部方式

ME 布尔型NO读写设定点工作在外部方式

输出端

ENO 布尔型NO 只读过程状态。TRUE:功能块在运行

OUT 实型NO只读输出

SMA 布尔型NO只读工作方式,TRUE=自动FALSE=手动

SIE 布尔型NO只读设定点内部/外部

FALSE=内部 TRUE=外部ERR 布尔型NO只读TRUE:如果功能块出错

STA 整型NO只读功能块的错误状态代码

参数定义伪码

Hw 实型YES 读写手动值

Lhw 布尔型YES无访问访问手动值

Ska 实型YES读写零点

Ske 实型YES读写量程

Pri 实型YES 读写内部比值

Lri 实型YES无访问访问内部比值

Pie 整型YES读写工作方式内部/外部,0=内1=外

Vi 布尔型YES无访问锁定内部方式

Vl 布尔型YES无访问锁定外部方式

Pha 整型YES读写工作方式手动/自动,0=手动1=自动

Vh 布尔型YES无访问锁定手动方式

Va 布尔型YES无访问锁定自动方式

Pbi 实型YES读写内部偏差

Lbi 布尔型YES无访问访问内部偏差

Ae 布尔型YES读写是否使用乘法运算

pa 实型NO 只读输出的显示值

C5 模拟输入转换:AI_TR

(图C-17)

功能:该功能块进行模/数转换,把UINT形式的模拟输入变量值转换成数字形式(实数形式)

输入信号范围为0-20MA或4-20MA,信号范围为4-20MA时才可以下限出错

如果模拟输入信号超出了允许值范围,功能块转到缺省值,并设置相应的限位值

信号(SL,SH),缺省值根据方向决定使用上一步的值(DVH)或下一步的值(DVL),或

者根据配置使用原来的有效值。

为了校正变送的误差,可以定义一个在4MA以下和20MA以上的缓冲带,缓冲带可以是最大值(20MA)的0.0%-30%)。自动进行缓冲带百分之五十的滞后。

如果功能块检测到线路开路(输入<3.2MA,输出>3.8MA),将作出一个标记(SWB),信号为4-20MA时才具有线路检测功能。线路开路产生的系统消息不能参数化。

显示:

名称,短文本,量程

被转换模拟值OUT(引脚OUT)显示为数字值和显示条,缺省值DL(引脚DVL)和DH(引脚DVH)显示为数字值,如果使用缺省值,则模拟值OUT以红色显示。输入信号范围IR 0-20MA或4-20MA。

操作说明:

消息确认

C5.1参数:模拟输入转换:AI_TR

(图C-18)

Measuring range start 测量范围零点,以实数形式输入

Measuring range end 测量范围量程,以实数形式输入

Tolerunce range 缓冲范围:0.0%-3.0%,以实数形式输入

Input range 定义信号范围为:

?0-20MA或

?4-20MA

Hold last value ?发生故障时配置的缺省值将被激活

?发生故障时保持上次的有效的值

Default values 定义发生故障时使用什么缺省值

Too low 如果测量范围超出下限时的实数形式的固定值或外部信号Too high 如果测量范围超出上限时的实数形式的固定值或外部信号

Prio 输入优先级1-5“~”用于无消息

Himt 输入提示文本

Message text 输入消息文本或由选择窗口输入

Wire beak 监视线路开路(不是可能配置的)

C5.2 功能块数据:模拟输入转换器 AI_TR

缺省值太低(DVL)和太高(DVH)只能通过相应的输入引脚访问

名称数据类型配置访问说明

输入端

EN 布尔型YES 读写使能 TRUE:功能块在运行

IN UINT NO 读写输入信号

DVL 实型YES读写信号太低时的缺省值

DVH 实型YES读写信号太高时的缺省值

输出端

ENO 布尔型NO 只读过程状态。TRUE:功能块在运行

OUT UINT NO只读输出信号

SWB 布尔型NO只读状态显示,TRUE表示,线路开路

SL 布尔型NO只读状态显示,TRUE表示,信号太低

SH 布尔型NO只读状态显示,TRUE表示,信号太高

参数定义伪码

Mba 实型YES 读写测量范围零点

Mbe 实型YES无访问测量范围量程

Sb 整型YES读写信号范围0=0-20MA 1=4-20MA

Lew 布尔型YES 读写保持原来的值

Wtb 实型YES 读写转换缓冲范围

Mp1 消息1(太低)

Mp2 消息2(太高)

Mp3 消息3(线路开路)

Ewa 布尔型YES 读写缺省值激活

C6 模拟输入转换瞬变AI_TRT

(图C-20页)

功能:该功能块进行模拟/数字转换,把模拟输入模块的(12位)变量值转换成数字值.

测量范围的零点和量程和输入信号的范围一致(0-20MA或4-20MA).

可提供一个附加的缺省值.信号超出范围或者瞬变值超出允许时可以使

缺省值。可以用以前的值作为缺省值。

为了校正变送器的误差,可以定义4MA以下和20MA以上的缓冲区,缓冲区可以定义为最大值(20MA)的0.0%-3.0%,自动执行缓冲区的50%的滞后。可以关闭瞬变监视,只检测删去的项。

在输出端SMR和SRC显示超出范围的种类,是信号还是瞬变。如果输入

IN的值在一个周期内下降超过配置的瞬变值(以当前值的百分比形式),则设置输出SRC,这样可以检测0-20MA信号线路的开路。

如果功能块检测到线路开路(输入<3.2MA,输出>3.8MA)将设置SWB。4-20MA时才能检测线路开路。线路开路将产生一个系统消息,该消息不能参数化。

显示:

名称,短文本,量程和物理单位

转换的模拟值OUT(引脚OUT)显示为条形图,如果使用缺省值,模拟值OUT显示为红色。输入范围IR 0-20MA或4-20MA。

操作说明:

消息确认

C6.1 模拟输入转换瞬变AI_TRT

(图C-21页)

Measuring range

测量范围零点,以实数形式输入

Start

测量范围量程,以实数形式输入

Measuring range

End

Dimension 物理单位,以文本输入或从列表框选择

Rate of change

Max rate of change 输入信号IN的允许的最大反向动作变化,百分比形式,以实

数形式输入

Maonitroing OFF 没有瞬变监视

?ON 瞬变监视

Tolerance range 缓冲区范围0.0%-3.0%,以实数形式输入

Input range 定义所使用的输入范围

?0-20MA

?4-20MA

输入固定的缺省值,以实数形式输入

Default value

(fixed)

Default nalue 定义出现故障时使用的缺省值

Prcess signal ?输入DC作为缺省值

Fired value ?固定值作为缺省值

Last value ?用原来的值作为缺省值

Messages(消息)

Mess range 测量范围

Rate of change 瞬变可单独调查

Prio 输入优先级1……5,无消息则输入“-”

Hint 输入提示文本

Message text 消息文本,直接输入或由选择窗口输入

Wire break 监视线路开路(不可配置)

C6.1 功能块数据:模拟输入转换器瞬变AI_TRT

固定缺省值DV的值只能通过输入引脚访问

名称数据类型配置访问说明

输入端

EN 布尔型YES 读写使能 TRUE:功能块在运行

IN UINT NO 读写输入信号

DV 实型YES读写缺省值

输出端

ENO 布尔型NO 只读过程状态。TRUE:功能块在运行

OUT UINT NO只读输出信号

SWB 布尔型NO只读状态显示,TRUE表示,线路开路

SMR 布尔型NO只读如果超出信号范围则为TRUE

SRC 布尔型NO只读如果超出瞬变范围则为TRUE

参数定义伪码

Mba 实型YES 读写测量范围零点

Mbe 实型YES读写测量范围量程

Sb 整型YES读写信号范围0=0-20MA 1=4-20MA

Lew 整型YES 只读缺省值来源0=过程信号

1=固定值

2=原来的值

Trs 实型YES 读写瞬变值

Tru 整型YES 只读瞬变监视0=off 1=on

Pa 整型NO 只读显示值输出

Pewa 布尔型NO 只读缺省值激活

Wtb 实型YES 读写转换的缓冲区范围

Mp1 消息1(测量范围)

Mp2 消息2(变化率)

Mp3 消息3(线路开路)

Ewa 布尔型YES 读写缺省值激活

C7 模拟输出转换 AO_TR

(图C-24页)

功能: 该功能块把数字值转换成输出到模拟输出模块(12位)的信号值,该数字值将与参数中的测量范围起点和测量范围终点进行比较,如果超出范围,将设置一个ERR逻辑1信号.可以转换0-20MA或4-20MA的信号范围。

为了允许模拟输出可以低电器或超电流,应该在参数定义伪码中选择低电流和/或超电流。

只有在4-20MA时低电流配置才有效。

如果值低于零点则输出3.6MA,如果高于量程,则输出20.4MA.

显示:

名称,短文本和量程范围

模拟输入IN(IN引脚)显示为条状图和数字值,输出范围OR 0-20MA或4-20MA 操作说明:

C7.1 参数:模拟输出转换 AO_TR

(图C-24页) Measuring range

Start

测量范围零点,以实数形式输入Measuring range

End

测量范围量程,以实数形式输入Output range 定义所使用的输出范围

?0-20MA

?4-20MA

Decreaseoutput (仅对4-20MA) ?如果输出低于3.5MA仍减小输出?输出4MA

Increase output ?输出超过20.4MA后仍增大输出

?输出20MA

C7.2 功能块数据:模拟输出转换 AO_TR

名称数据类型配置访问说明

输入端

EN 布尔型YES 读写使能 TRUE:功能块在运行

IN 实型NO 读写输入信号

输出端

ENO 布尔型NO 只读过程状态。TRUE:功能块在运行

OUT UINT NO只读输出信号

ERR 布尔型NO只读TRUE:如果功能块出错

参数定义伪码

Mba 实型YES 读写测量范围零点

Mbe 实型YES读写测量范围量程

Sb 整型YES读写输入信号范围0=0-20MA 1=4-20MA

LOO 布尔型YES读写低电流输出

Hio 布尔型YES读写高电流输出

C8 标度变换,SCAL

(图C-27页)

功能: 该功能块进行模拟信号的标度变换,如果几个模拟值要进行比较或计算时必须进行标度变换.由于标度调整使信号落在新测量范围之外的,限制在新测量范围的0-100%之间。

下列情况下输出ERR设置为逻辑1信号:

输入值IN超出允许的范围,输出STA=1

输出OUT超出允许的范围,输出STA=2

零作除数或超出数字值范围STA=3

实数范围内的内部计算错误输出STA=9

显示:无

操作说明:无

C8.1 参数:标度变换,SCAL

(图C-28页)

scaling

scale start input 输入信号的测量范围起点(实数形式)

scale end input 输入信号的测量范围量程(实数形式)

scale start output 输出信号的测量范围起点(实数形式)

scale end output 输出信号的测量范围量程(实数形式)

C8.2 功能块数据:标度变换,SCAL

名称数据类型配置访问说明

输入端

EN 布尔型YES 读写使能 TRUE:功能块在运行

IN 实型NO 读写输入信号

输出端

ENO 布尔型NO 只读过程状态。TRUE:功能块在运行

OUT 实型NO只读输出信号

ERR 布尔型NO只读TRUE:如果功能块出错

STA 整型NO只读功能块的错误状态代码

参数定义伪码

Mae 实型YES 读写输入的测量范围零点

Mee 实型YES读写输入的测量范围量程

Maa 实型YES读写输出的测量范围零点

Mea 实型YES读写输出的测量范围量程

C8.2 功能块数据:标度变换,SCAL

(图C-30 dioo53 bmp)

功能: 实现第一步延迟,该功能块作用于模拟信号,作为平滑的低通滤波器,其时间常数可参数化。

公式如下:

OUTn = OUT

n-1 + tz/TI + tz X (INn-OUT

n-1

)

OUTn:n循环的输出

OUTn-1:n-1循环的输出

Inn-1 : n-1循环的输入

tz : 任务循环时间

T1 : 延迟时间

延迟时间不允许为零

该功能块必须以等间隔方式运行在内部任务中

显示:无

操作说明:无

C9.1 参数:延迟功能块DELAY

(图C-30页)

Delay time 以时间格式输入延迟时间,如T#10S

C9.2 功能块数据:延迟功能块DELAY

名称数据类型配置访问说明

输入端

EN 布尔型YES 读写使能 TRUE:功能块在运行

IN 实型NO 读写输入信号

输出端

ENO 布尔型NO 只读过程状态。TRUE:该功能块在运行

OUT 实型NO只读输出信号

参数定义伪码

T1 时间型YES 读写延迟时间

C10 超前/滞后滤波器.LD_LG

(图C-32页 dioo58 bmp)

功能:该功能块是延迟电路中的特殊功能块,用于动态干扰变量前馈等或用于设定点滤波(以防止设定点跳变时实际值超出范围).根据参数超前时间Td和滞后时间T1的设置,输入IN的跳变可能导致不同的行为.如果Td和T1相同,跳变的输出响应和输入相

同。如果参数不相同,输出信号道德根据比值Td/Ti跳变,然后用水平-1接近其最终值,最终值和初始过程的跳变相同。

下面的图是过程说明:

(图C-32页,dio746.bmp)

公式为:

OUTn=OUT

n-1+tz/T1+tz(INn-OUT

n-1

)+Td/T1+tz(1Nn-IN

n-1

)

OUTn =n循环的输出

OUT

n-1

=n-1循环的输出

INn= n循环的输入

IN

n-1

=n-1循环的输入

Td=超前时间

T1=滞后时间

tz=循环时间

该功能块只能以等间隔方式用于循环任务

显示:无

操作说明:无

C10.1参数: 超前/滞后滤波器.LD/LG

(图C-33页)

Lead time 超前时间,以时间格式输入,如T#4MZS。

Lag time 滞后时间,时间常数T1必须大于0秒,以时间格式输入。

C10.2功能块数据,超前/滞后滤波器LD/LG

名称数据类型配置访问说明

输入端

EN 布尔型YES 读写使能 TRUE:功能块在运行

IN 实型NO 读写输入信号

输入端

ENO 布尔型NO 只读过程状态。TRUE:该功能块在运行OUT 实型NO只读输出信号

参数定义伪码

T1 时间型YES 读写延迟时间

Tau 时间型YES 读写超前时间

C11 死区时间功能块,TDEAD

(图C-35页 dioo54.bmp)

功能:该功能块给模拟信号增加一个死区,即死区时间过后将输入信号传送过去。

该模块根据任务循环时间的精度决定当前的死区时间,其内部显示为最多100个值的循环缓冲区。

功能块提供两个输出OUT1、OUT2,用两个参数定义:死区时间1和死区时间2。

循环缓冲存贮区用第一次点计算时的输入IN值初始化。

该功能块必须运行在等间隔的间隔任务中。

显示:无。操作说明:无

(图C-35页)

Dead time 1 输入输出OU1的死区时间。以时间格式输入。

Dead time 2 输入输出OU2的死区时间。以时间格式输入。

C11.2 功能块数据:死区时间滤波器TDEAD

名称数据类型配置访问说明

输入端

EN 布尔型YES 读写使能 TRUE:功能块在运行

IN 实型NO 读写输入信号

输出端

ENO 布尔型YES 读写过程状态。TRUE:该功能块在运行

OU1 实型NO只读输出信号1

OU2 实型NO只读输出信号2

参数定义伪码

Mt 时间型YES 读写死区时间1

Nt 时间型YES 读写死区时间2

C12 平均时间值,TAVER

(图C-37页 dioo55.bmp)

功能:该功能根据任务循环时间,在OUT输出一个平均时间值,该值是从时间窗口安排的最多100个值计算出的算术平均值.如果参数化的时间窗口超过100乘以任务循环时间,则自动进行“步退(step-down)”操作。这可能导致存贮深度的降低(如:时间范围:150乘以任务循环时间:每秒通过存贮75个值与过程对应)。

该功能块可以把输入信号IN平滑后再输出。该功能块并非设计用于产生长周期时间的平均值。

循环缓冲存贮区用第一次总计算时的输入IN值进行初始化。

该功能块必须运行在等间隔的间隔任务中。

显示:无操作说明:无

C12.1 参数:平均时间值TAVER

(图C-37页 dio605uk.bmp)

Time 输入平均时间,以时间格式输入

C12 .2 功能块数据:平均时间值TAVER

名称数据类型配置访问说明

输入端

EN 布尔型YES 读写使能 TRUE:功能块在运行

IN 实型NO 读写输入信号

输出端

ENO 布尔型YES 只读过程状态。TRUE:该功能块在运行

OUT 实型NO只读输出信号

参数定义伪码

Mt 时间型YES 读写缓冲时间

C13 时间最大值.TMAX

(图C-39之一)

功能:时间最大值,TMAX.该功能块根据任务循环时间在OUT输出端返回一个时间最大值.。

该值是从安排的时间窗口中最多100个值中选出的一个最大值。

但并不适用于长周期。如果参数化的时间窗口超过100乘以任务循环时间,则自动执行“退步(step-down)”。这可能导致存贮器深度的减少(如时间范围:150乘以任务循环时间:每秒通过存贮75个值与过程对应)。

循环缓冲存贮区用第一次总计算时的输入IN值进行初始化。

该功能块必须运行在等间隔的间隔任务中。

显示:无操作说明:无

C13.1参数:最大时间值.TMAX

(图C-39页)

Time 输入时间,滤波器时间不能小于0秒

C13.2功能块数据:最大时间值.TMAX

名称数据类型配置访问说明

输入端

EN 布尔型YES 读写使能 TRUE:功能块在运行

IN 实型NO 读写输入信号

输出端

ENO 布尔型YES 只读过程状态。TRUE:该功能块在运行

OUT 实型NO只读输出信号

参数定义伪码

Mt 时间型YES 读写缓冲时间

C14 最小时间值,TMIN

(图C-41页 dioo57.bmp)

功能: 时间最小值,TMIN.该功能块根据任务循环时间在OUT输出端返回一个时间最小值.。

该值是从安排的时间窗口中最多100个值中选出的一个最小值。

但并不适用于长周期。如果参数化的时间窗口超过100乘以任务循环时间,则自动

执行“退步(step-down)”。这会导致存贮器深度的减少(例如时间范围:150乘以任务循环时间:每秒通过存贮75个值与过程对应)。

循环缓冲存贮区用第一次总计算时的输入IN值进行初始化。

该功能块必须运行在等间隔的间隔任务中。

显示:无操作说明:无

C14.2功能块数据:最小时间值

名称数据类型配置访问说明

输入端

EN 布尔型YES 读写使能 TRUE:功能块在运行

IN 实型NO 读写输入信号

输出端

ENO 布尔型YES 只读过程状态。TRUE:该功能块在运行

OUT 实型NO只读输出信号

参数定义伪码

Mt 时间型YES 读写缓冲时间

C15 模拟时间滤波器

(图C-43页)

功能:该功能用于模拟信号的高精度滤波,功能块具有下列功能,下面的值都可以用作输出。

死区时间 OUTPUT ODT

平均时间值输出OAV

最小时间值输出OMN

最大时间值输出OMX

可以通过引脚T或参数项指定滤波器功能块的时间和。

输出值存贮在一个循环存贮器中,以相同的时间间隔存贮,循环存贮器最多可以存贮1500个值。功能块在内部计算循环存贮器的填充速度,如果存贮器不够用,执行一个扫描“退步(step-down)”。

时间间隔是任务循环时间的倍数,在ST端输出,滤波器总时间在AT端输出(扫描退步X 填充速度)。如果改变退步系数(改变时间设置或任务循环时间)。

则进行循环存贮器的初始化,那用当前输入信号的值填充整个循环存贮器。

因为高时间分辨率的要求,该功能块只能用在等间隔任务中。

显示:无操作说明:无

C15.1 功能块数据:模拟时间滤波器TFILT

滤波器时间值只能通过相关输入引脚访问

名称数据类型配置访问说明

输入端

EN 布尔型YES 读写使能 TRUE:功能块在运行

IN 实型NO 读写输入信号

T 时间型YES 读写滤波功能的处理时间

输出端

ENO 布尔型YES 只读使能。TRUE:功能块在运行

ODT 实型NO只读死区时间的输出

OAV 实型NO只读时间平均值的输出

OMN 实型NO只读最小时间值的输出

OMX 实型NO只读最大时间值的输出

AT 时间型NO 只读当前滤波的时间和

ST 时间型NO 只读滤波的时间分辨率(每步时间)

参数定义伪码

PT 时间型YES 读写滤波时间

C16 时间计划表,TS

(图C-45页1)

第22讲 功能块FB的编程与应用

主讲: 阳胜峰 S7-300中功能块FB的编程与应用

S7-300中功能块FB的编程与应用 功能块(FB)在程序的体系结构中位于组织块之下。它包含程序的一部分,这部分程序在OB1中可以多次调用。功能块的所有形参和静态数据都存储在一个单独的、被指定给该功能块的数据块(DB)中,该数据块被称为背景数据块。当调用FB时,该背景数据块会自动打开,实际参数的值被存储在背景数据块中;当块退出时,背景数据块中的数据仍然保持。 一、编辑无静态参数的功能块(FB) 二、在OB1中调用无静态参数的功能块(FB)

【例5-6-1】 水箱水位控制系统程序设计。 Y2 Y1 UL1 UH1 Y4 Y3 UL2 UH2 Y6 Y5 UL3 UH3 水箱1 水箱2 水箱3 SB1 SB2 SB3 SB4 SB5 SB6 S7-300中无静态参数FB 的编程与应用

系统有3个贮水箱,每个水箱有2个液位传感器,UH1, UH2,UH3为高液位传感器,“1”有效;UL1,UL2,UL3为低 液位传感器,“0”有效。Y1、Y3、Y5分别为3个贮水水箱进 水电磁阀;Y2、Y4、Y6分别为3个贮水水箱放水电磁阀。SB1、SB3、SB5分别为3个贮水水箱放水电磁阀手动开启按钮;SB2、SB4、SB6分别为3个贮水箱放水电磁阀手动关闭按钮。 控制要求:SB1、SB3、SB5在PLC外部操作设定,通过人为的方式,按随机的顺序将水箱放空。只要检测到水箱“空”的信号,系统就自动地向水箱注水,直到检测到水箱“满”信号为止。水箱注水的顺序要与水箱放空的顺序相同,每次 只 能对一个水箱进行注水操作。

新功能块说明

详细的方案 本方案采用OS站集成在STEP7的中方式,这样做的目的是能使用STEP7中Alarm_8功能块作用,在下位机完成程序编程之后,通过编译,能自动把报警记录导入到WINCC的报警记录中。 所有开发的下位机标准块都采用FB块编程,在WINCC中,除模拟量外,其它设备的都只用一个变量来表示,变量的格式是MD双字。即一个设备用一个变量表示。这样可以减少授权的等级。 单机块 图标状态说明: 表示设备备妥、开始联锁,保护联锁条件都具备,但是设备处于自动模式,设备不能单独开启。 表示设备备妥、开始联锁,保护联锁条件都具备,设备处于单机模式,设备能单独开启。 右下脚的“L”图标表示设备的“操作联锁”处于解锁状态。当设备处于解锁状态时,设 备与设备之间的联锁跳停取消。 表示设备处于组自动开模式。 表示设备处于单机开启模式。 表示设备处于现场开启模式。 表示设备处于故障模式,如没有启动联锁,没有保护联锁,没有备妥,这些条件不满足设备都显示红色。 单机设备的操作画面如下:

Start 按钮用于设备的单机开启。(条件是组打到单机模式) Stop 按钮用于设备的单机停止。当设备处于组自动模式时,停止按钮将变灰不能操作。Lock 按钮用于设备的“操作联锁”解锁。 点单机画面上的按钮后画面将展开,如下图:

其中 Start interlock:表示开始联锁,用于设备在开启之前必须要满足的条件,但是设备开启后就不需要再理会开始联锁信号。例如大功率的电机的水电阻允许启动信号, 大风机开之前的进口阀门的关闭信号等都属于开始联锁信号。 Operating interlock:表示操作联锁,操作联锁的作用如下: 1、用于组起动,一般接下游设备的运行信号。当收到下游设备的运行信号后,操作联锁条件 满足,再收到组启动信号,本设备组启动。 2、用于设备的联锁跳停,当下游设备跳停后,操作联锁为0,本设备跳停,联锁跳停不管是单 机模式还是自动模式本联锁都有效。 3、当设备处于“解锁”状态,操作联锁的“联锁跳停”功能被屏蔽,即下游设备跳停后,本 设备不跳停 Protection interlock:表示保护联锁。用于本设备的保护条件,如大电机的温度高高报警, 拉绳信号等等。这个条件不满足设备不能开启,当设备运行后,这个 条件丢失,设备停止。这个条件不管是在单机模式还是自动模式都有 效。当本条件是“1”时为正常。 Equipment electric ready:表示本设备的备妥信号。

PCS7功能块详细说明

PCS7Standard library常见块管脚说明驱动块: 1.CH_AI MODE:系统生成,表示信号/通道类型。用户不修改。 VALVE:连接输入通道地址 VHRANGE:量程上限 VLRANGE:量程下限 SIM_ON:等于1时激活仿真功能 SIM_V:仿真值 SUBS_ON:等于1时激活“当通道故障时输出等于替代值“功能 SUBS_V:输出的替代值(模拟量) QBAD:通道的诊断。等于1表示通道故障 V:输出值 QUALITY:过程值的质量代码 2.CH_AO MODE:系统生成,表示信号/通道类型。用户不修改。 U:输入值 UHRANGE:量程上限 ULRANGE:量程下限

VALUE:输出通道地址 QUALITY:过程值的质量代码 3.CH_DI MODE:系统生成,表示信号/通道类型。用户不修改。VALVE:连接输入通道地址 SIM_ON:等于1时激活仿真功能 SIM_V:仿真值 SUBS_ON:等于1时激活“当通道故障时输出等于替代值“功能SUBS_V:输出的替代值(模拟量) QBAD:通道的诊断。等于1表示通道故障 Q:输出值 QUALITY:过程值的质量代码 4.CH_DO MODE:系统生成,表示信号/通道类型。用户不修改。 I:输入值

VALUE:输出通道地址 QUALITY:过程值的质量代码 SIM_ON:等于1时激活仿真功能 SIM_V:仿真值 QBAD:通道的诊断。等于1表示通道故障VALUE:输出通道的地址 QUALITY:过程值的质量代码 控制块: 1.模拟量监视MEAS_MON CSF:控制系统故障。连接通道的QBAD引脚U:过程值输入(PV) QC_U:过程值的质量代码 U_AH:报警高高限 U_WH:报警高限 U_WL:报警低限 U_AL:报警低低限 HYS:偏差 QH_ALM:高高报警输出(红色报警) QL_ALM:低低报警输出(红色报警) QH_WRN:高报警输出(黄色报警)

西门子功能块说明和调整方法

西门子FB41中PID功能块说明和调整方法分享到QQ空间转帖到开心网转帖到百度搜藏 FB41称为连续控制的PID用于控制连续变化的模拟量,与FB42的差别在于后者是离散型的,用于控制开关量,其他二者的使用方法和许多参数都相同或相似。 PID的初始化可以通过在OB100中调用一次,将参数COM-RST置位,当然也可在别的地方初始化它,关键的是要控制COM-RST; PID的调用可以在OB35中完成,一般设置时间为200MS, 一定要结合帮助文档中的PID框图研究以下的参数,可以起到事半功倍的效果 以下将重要参数用黑体标明.如果你比较懒一点,只需重点关注黑体字的参数就可以了。其他的可以使用默认参数。 A:所有的输入参数: COM_RST: BOOL: 重新启动PID:当该位TURE时:PID执行重启动功能,复位PID内部参数到默认值;通常在系统重启动时执行一个扫描周期,或在PID进入饱和状态需要退出时用这个位; MAN_ON:BOOL:手动值ON;当该位为TURE时,PID功能块直接将MAN的值输出到LMN,这可以在PID框图中看到;也就是说,这个位是PID的手动/自动切换位; PEPER_ON:BOOL:过程变量外围值ON:过程变量即反馈量,此PID可直接使用过程变量P IW(不推荐),也可使用PIW规格化后的值(常用),因此,这个位为FALSE; P_SEL:BOOL:比例选择位:该位ON时,选择P(比例)控制有效;一般选择有效; I_SEL:BOOL:积分选择位;该位ON时,选择I(积分)控制有效;一般选择有效;

INT_HOLD BOOL:积分保持,不去设置它; I_ITL_ON BOOL:积分初值有效,I-ITLVAL(积分初值)变量和这个位对应,当此位ON时,则使用I-ITLVAL变量积分初值。一般当发现PID功能的积分值增长比较慢或系统反应不够时可以考虑使用积分初值; D_SEL :BOOL:微分选择位,该位ON时,选择D(微分)控制有效;一般的控制系统不用; CYCLE :TIME:PID采样周期,一般设为200MS; SP_INT:REAL:PID的给定值; PV_IN :REAL:PID的反馈值(也称过程变量); PV_PER:WORD:未经规格化的反馈值,由PEPER-ON选择有效;(不推荐) MAN :REAL:手动值,由MAN-ON选择有效; GAIN :REAL:比例增益; TI :TIME:积分时间; TD :TIME:微分时间; TM_LAG:TIME:我也不知道,没用过它,和微分有关; DEADB_W:REAL:死区宽度;如果输出在平衡点附近微小幅度振荡,可以考虑用死区来降低灵敏度; LMN_HLM:REAL:PID上极限,一般是100%; LMN_LLM:REAL:PID下极限;一般为0%,如果需要双极性调节,则需设置为-100%;(正负10V输出就是典型的双极性输出,此时需要设置-100%); PV_FAC:REAL:过程变量比例因子 PV_OFF:REAL:过程变量偏置值(OFFSET) LMN_FAC:REAL:PID输出值比例因子; LMN_OFF:REAL:PID输出值偏置值(OFFSET); I_ITLVAL:REAL:PID的积分初值;有I-ITL-ON选择有效; DISV :REAL:允许的扰动量,前馈控制加入,一般不设置; B:部分输出参数说明: LMN :REAL:PID输出;

系统功能模块说明

?自拟Web系统,并确定系统名称 ?自拟系统的主界面(静态页面) –使用DIV+CSS或Table+CSS布局,要求有适合系统主题的相关图片和文字 ?系统功能文档 –各功能模块说明 –系统功能框图 ?数据库设计文档 –以小组为单位完成系统的数据库设计文档 组长将任务分配表和以上内容打包提交给老师 **系统功能模块说明书 **系统功能模块说明 1.背景 随着计算机网络的广泛应用,现在越来越多的人都开始习惯使用计算机对需要的东西进行查阅.本WEB系统是为了计算机用户能方便的对一些出名的新闻,资料,各类型的网络文化进行查阅,浏览. 2.功能描述 本WEB系统主要实现的功能有:用户功能,留言功能,上传功能,视频观看,图文共赏,音乐欣赏,评论区等功能. ①用户功能: 用户功能具体分为: a)用户权限 ⑴管理员 管理员拥有系统中的所有权限,可以对系统中所有的内容进行后台管理,如:添加/删除文件,修改界面,修改其他用户的权限 等. ⑵会员用户

会员用户是经过注册过后的用户.在普通用户权限的基础上增加了对各类视频,图文上传的功能,能浏览系统中的全部内容. ⑶普通用户 普通用户是未经过注册的用户,只能浏览网页中的部分内容.经注册过后能对相应的产品进行评价,能对管理员进行留言. b)用户注册 用户注册时需要填写账户,密码(密码将以“*”号显示),确认密码,性别,邮箱,验证码等.在用户填写完账户之后,确定数据 库中是否存在相同的账户,若有相同的账户存在,注册将失败,并 且清空注册中的所有内容. c)用户登录 用户登陆时将填写已注册的账户密码,在用户确认登陆时系统将进入数据库中验证账户密码是否正确,如正确将转入主 页,如错误将清空密码栏 ②留言功能 已登录的用户可以在留言版内对管理员留言,留言发表时将显示留言用户的账户与留言时间. ③视频观看 视频功能将以图片,文字解说,与链接的形式显示,相应的链接会连接到其他的网站中相应是视频. ④图文共赏 图文共赏功能会以单纯的图片,文章,的形式显示,在图片中将有

STEP7_功能块说明

https://www.wendangku.net/doc/f113995806.html,parator == IN1等于IN2 <> IN1不等于IN2 > IN1大于IN2 < IN1小于IN2 >= IN1大于或等于IN2 <= IN1小于或等于IN2 2.整数就是没有小数位都是零的数,即能被1整除的数(如-1,-2,0,1,……)CMP ?I(INT)整数比较 CMP ?D (DINT)比较双精度整数 CMP ?R (REAL)比较实数 3.转换指令概述 BCD_I BCD码转换为整数 I_BCD 整型转换为BCD码 BCD_DI BCD码转换为双精度整数 I_DINT 整型转换为长整型 DI_BCD 长整型转换为BCD码 DI_REAL 长整型转换为浮点型 4 计数器指令 S_CUD 双向计数器 S_CD 降值计数器 S_CU 升值计数器 ---( SC ) 设置计数器线圈 ?---( CU ) 升值计数器线圈 ?---( CD ) 降值计数器线圈 5.S_CUD 双向计数器

` 6. S_CU 升值计数器

7. S_CD 降值计数器 8. ---( SC ) 设置计数器值

9. ---( CU ) 升值计数器线圈 10. ---( CD ) 降值计数器线圈 11. ---(OPN)打开数据块:DB或DI

如果想将数据块中的数据读出(如DB和DI),需要通过(OPN)打开数据块后才可读出。 12. ---(JMP)--- 无条件跳转 13. ---(JMPN) 若“否”则跳转 14. LABEL标号

【整型数学运算指令】整型数学运算指令概述说明 使用整数运算,您可以对两个整数(16和32位)执行以下运算: ?ADD_I 加整数 ?SUB_I 减整型 ?MUL_I 乘整型 ?DIV_I 除整型 ?ADD_DI 加双精度整数 ?SUB_DI 减长整型 ?MUL_DI 乘长整型 ?DIV_DI 除长整型 ?MOD_DI 返回分数长整型 15. ADD_I 整数加

TRICON功能块说明书

功能块左边为输入,右边为输出 本说明中引脚所连接的英文为数据类型:BOOL 布尔型 DA TE 日期型 DINT 双整型 DT 日期时间连接型 DWORD 双字型 INT 整型 LREAL 长实数型 REAL 实数型 STRING 字符型 TIME 时间段(如10s) TOD 时间点(如10:03:04.000) WORD 字型

STDLIB: 功能说明:取绝对值 功能说明:输出=arccos(输入),输出单位为弧度。 功能说明:相加求和

功能说明:与门 功能说明:输出=arcsin(输入),输出单位为弧度。 功能说明:输出=arctan(输入),输出单位为弧度。

功能说明:数据类型转换,将BOOL(数字)型转换成DWORD(双字)型 功能说明:输出为大于等于输入的最小整数,转化成实型后的数 例:输入为1.1,输出为2.0。输入为1.0,输出为1.0。 功能说明:将日期和时间连接起来输出 例:输入分别为“DA TE#1970-01-02”和“TOD#00:00:00.000” 则输出为“DT#1970-01-02-00:00:00.000”

功能说明:输出=cos(输入) 功能说明:当LD和CD为1时,CV从PV值递减(最小值为-32768),当CV小于等于0时,输出Q变为1。 功能说明:当CU为1时,CV从PV值递增(最大值为32767),当CV大于等于0时,输出Q变为1。

功能说明: 当CU为1时,CV从PV值递增(最大值为32767),当CV大于等于0时,输出Q变为1。当LD和CD为1时,CV从PV值递减(最小值为-32768),当CV小于等于0时,输出Q 变为1。 功能说明:形如“***_TO_***”的都是数据类型转换函数。 功能说明:除法运算

DB块的内容说明及常用信号和功能块及功能说明

DB块的内容说明: DB1 西门子保留 DB2~DB4 PLC messages DB5~DB8 basic program DB9 NC compile NC编译循环接口 DB10 NCK interface 中央NC接口 DB11 mode group interface 方式组接口 DB18 SPL接口(安全集成) DB19 PCU接口 DB20 PLC机床数据 DB21~DB30 NC channel interface NC通道接口 DB31~DB61 interface for axis/spindles 轴/主轴号1到31预留接口DB71~DB74 tool management 用户刀具管理 DB75~DB76 M 功能代码 PLC到MMC的信号: DB 19 DBX 0.0 screen bright DB 19 DBX 0.1 screen darkening DB 19 DBX 0.2 key disable DB 19 DBX 0.3 清除通道报警 DB 19 DBX 0.7 机床坐标或工件坐标 DB 19 DBX 0.7=1 工件坐标 DB 19 DBX 0.7=0 机床坐标 MMC到PLC的信号: DB 19 DBX 20.3 报警已清除 NCK 与PLC之间的信号传递 DB2~~PLC 信息 DB10 ~NCK信息 PLC给NCK的信号 DB 10 DBX 56.1 急停信号 MMC给PLC的信号 DB10 DBX 103.6 MMC过热 DB10 DBX 103.7 电池报警 NCK给PLC的信号 DB10 DBX 104.7 NCK CPU ready DB10 DBX 108.7 NC ready DB10 DBX 108.6 drive ready DB10 DBX 106.7 急停信号 DB10 DBX 109.0 NCK报警存在

基本功能块的使用

基本逻辑功能块的使用 1)、算术运算符 ADD(加)、SUB(减)、MUL(乘)、DIV(除) 2)、位串运算符 AND(与)、OR(或)、NOT(非) 3)、选择运算符 SEL(选择)、MAX(二取大)、MIN(二取小)、LIMIT(限幅)、MUX(多选一) 4)、比较运算符 GT(大于)、L T(小于)、LE(小于等于)、GE(大于等于)、EQ(等于)、NE(不等于) 5)、数据类型转换运算符 BOOL_TO_INT、BYTE_TO_BOOL、REAL_TO_INT、 LREAL_TO_REAL 6)、延时器(Timer)功能(软时间继电器功能) TP(脉冲) TON(延时闭合) TOF(延时断开) 6.1TP讲解 TP(IN,PT,Q,ET):IN和Q都是BOOL类型的,PT,ET都是TIME 类型的。 如果IN是FALSE,Q是FALSE,ET是0. 如果IN是TRUE并且ET小于等于PT,Q是TRUE。否则,Q是FALSE 。

该功能块能产生一个单脉冲信号,常用于将一开关量信号转换成一个高电平脉冲信号,脉冲信号宽度时间最长为设置的PT时间(如果开关量在此时间内从1变为0,则脉冲宽度与开关量从1变为0的时间相同,这里修正了软件自带帮助说明中的时序图错误)。 6.2TON(延时闭合) TON(IN,PT,Q,ET):IN,Q是BOOL类型,PT,ET是TIME类型。如果IN是FALSE,Q是FALSE,ET为0.当IN变成TRUE时,ET以毫秒记数直到ET等于PT.然后保持常熟。当IN是TRUE并且ET 等于PT时,Q是TRUE。否则Q为FALSE. 该功能块常用于电机的延时启动,数字开并的防抖处理(即用作数字开关量的滤波功能)。 延时时间为PT引脚输入值。IN脚引入的开关信号如果为1(TRUE),最少要经过PT时间的确认,才能输出1(TRUE)。强调的是输出为1(TRUE)时的效果。 7)、触发器(Trigger) R_TRIG上升沿触发器仅产生一个扫描周期的1(TRUE)信号,如果输入引脚CLK引入的信号发生了从0到1的变化。 F_TRIG下降沿触发器仅产生一个扫描周期的1(TRUE)信号,如果输入引脚CLK引入的信号发生了从0到1的变化。 功能块经常应用于判断信号或条件是否发生了变化、作一次记录,某种数据值进行一次有效的传送后保持不变。 8)、双稳态功能块(置位与复位功能块)

标准库功能块引脚说明

驱动块: 1. CH_AI MODE: 系统生成,表示信号/通道类型。用户不修改。 V ALVE:连接输入通道地址 VHRANGE:量程上限 VLRANGE:量程下限 SIM_ON:等于1时激活仿真功能 SIM_V:仿真值 SUBS_ON:等于1时激活“当通道故障时输出等于替代值“功能SUBS_V:输出的替代值(模拟量) QBAD:通道的诊断。等于1表示通道故障 V:输出值 QUALITY:过程值的质量代码 2.CH_AO MODE: 系统生成,表示信号/通道类型。用户不修改。 U:输入值 UHRANGE:量程上限 ULRANGE:量程下限 QBAD:通道的诊断。等于1表示通道故障 V ALUE:输出通道地址

3.CH_DI MODE: 系统生成,表示信号/通道类型。用户不修改。 V ALVE:连接输入通道地址 SIM_ON:等于1时激活仿真功能 SIM_V:仿真值 SUBS_ON:等于1时激活“当通道故障时输出等于替代值“功能SUBS_V:输出的替代值(模拟量) QBAD:通道的诊断。等于1表示通道故障 Q:输出值 QUALITY:过程值的质量代码 4.CH_DO MODE: 系统生成,表示信号/通道类型。用户不修改。 I:输入值 QBAD:通道的诊断。等于1表示通道故障 V ALUE:输出通道地址

SIM_ON:等于1时激活仿真功能 SIM_V:仿真值 QBAD:通道的诊断。等于1表示通道故障 V ALUE:输出通道的地址 QUALITY:过程值的质量代码 控制块: 1.模拟量监视MEAS_MON CSF:控制系统故障。连接通道的QBAD引脚U:过程值输入(PV) QC_U:过程值的质量代码 U_AH:报警高高限 U_WH:报警高限 U_WL:报警低限 U_AL:报警低低限 HYS:偏差 QH_ALM:高高报警输出(红色报警) QL_ALM:低低报警输出(红色报警) QH_WRN:高报警输出(黄色报警) QL_WRN:低报警输出(黄色报警)

西门子STEP7常用功能块说明

西门子STEP7常用功能块说明【工控老鬼】 (2012-10-26 09:02:55) 转载▼ 标签: 分类:PLC plc培训 plc实例 感悟人生 工控老鬼 深圳plc培训 1. SFB0 "CTU" SFB1 "CTD" SFB2 "CTUD" SFB4 "TON" SFB5 TOF 兼容IEC61131-3的计数和计时功能块 2. SFB41 "CONT_C" SFB42 "CONT_S" SFB43 "PULSEGEN" 用于PID控制 41---连续 42---离散 43---用于将一个模拟量转化为与之对应的周期性开关量脉冲信号,该脉冲的 占空比与模拟量的数值大小成正比. 3. SFC0 "SET_CLK" SFC1 "READ_CLK" 用于读写PLC中的系统时间 4. SFC14 "DPRD_DAT" SFC15 "DPWR_DAT" 用于读写DP从站中的一致性数据如:读写用DP通讯的变频器中的控制字 5. SFC20 "BLKMOV" SFC21 "FILL" 块拷贝,块填充 6. SFC46 "STP" SFC47 "WAIT" SFC46 使PLC进入STOP状态,挺有用的:可以当软件陷阱,或利用上位控制PLC停机7. SFC60 "GD_SND" SFC61 "GD_RCV" MPI的GD通讯 8.IEC Function Blocks FC22 "LIMIT" FC25 "MAX" FC27 "MIN" FC22 ---限幅输出 FC25,FC27 --- 3个数比大小 9.PID Control Blocks

FB41/42/43 同SFB41 "CONT_C" SFB42 "CONT_S" SFB43 "PULSEGEN" FB58 "TCON_CP" FB59 "TCONT_S" 用于温度控制PID 10.Ti-S7 Converting Blocks FC105 "SCALE" FC106 "UNSCALE" 模拟量输入输出的比例和数据类型转换 【工控老鬼】 (2012-10-26 09:02:55) 转载▼ 分类:PLC 标签: plc培训 plc实例 感悟人生 工控老鬼 深圳plc培训 1. SFB0 "CTU" SFB1 "CTD" SFB2 "CTUD" SFB4 "TON" SFB5 TOF 兼容IEC61131-3的计数和计时功能块 2. SFB41 "CONT_C" SFB42 "CONT_S" SFB43 "PULSEGEN" 用于PID控制 41---连续 42---离散 43---用于将一个模拟量转化为与之对应的周期性开关量脉冲信号,该脉冲的 占空比与模拟量的数值大小成正比. 3. SFC0 "SET_CLK" SFC1 "READ_CLK" 用于读写PLC中的系统时间 4. SFC14 "DPRD_DAT" SFC15 "DPWR_DAT" 用于读写DP从站中的一致性数据如:读写用DP通讯的变频器中的控制字 5. SFC20 "BLKMOV" SFC21 "FILL" 块拷贝,块填充 6. SFC46 "STP" SFC47 "WAIT" SFC46 使PLC进入STOP状态,挺有用的:可以当软件陷阱,或利用上位控制PLC停机7. SFC60 "GD_SND" SFC61 "GD_RCV" MPI的GD通讯

DB块的内容说明和常用信号和功能块和功能说明

PLC到MM啲信号: screen bright screen darkening key disable 清除通道报警 机床坐标或工件坐标 工件坐标 机床坐标 MM(到PLC的信号: DB 19 DBX 20.3 报警已清除 NCK与PLC之间的信号传递 DB2??PLC信息 DB10?NCK信息 PLC给NCK勺信号 DB 10 DBX 56.1 急停信号 MM(给PLC的信号 DB10 DBX 103.6 MMC过热 DB10 DBX 103.7 电池报警 NCK给PLC的信号 DB10 DBX 104.7 DB10 DBX 108.7 DB10 DBX 108.6 DB10 DBX 106.7 DB10 DBX 109.0 NCK CPU ready NC ready drive ready 急停信号 NCK报警存在 DB块的内容说明: DB1 西门子保留 DB2~DB4 PLC messages DB5?DB8 basic program DB9 NC compile NC 编译循环接口 DB10 NCK interface 中央NC接口 DB11 mode group interface 方式组接口 DB18 SPL 接口(安全集成) DB19 PCU 接口 DB20 PLC 机床数据 DB21?DB30 NC channel interface NC通道接口 DB31?DB61 interface for axis/spindles 轴/ 主轴号1 到 DB71?DB74 tool management 用户刀具管理 DB75~DB76 M功能代码 31预留接口 DB 19 DBX 0.0 DB 19 DBX 0.1 DB 19 DBX 0.2 DB 19 DBX 0.3 DB 19 DBX 0.7 DB 19 DBX 0.7=1 DB 19 DBX 0.7=0

STEP常用功能块说明

S T E P7?常用功能块说明 1. SFB0 "CTU" SFB1 "CTD" SFB2 "CTUD" SFB4 "TON" SFB5 TOF 兼容IEC61131-3的计数和计时功能块 2. SFB41 "CONT_C" SFB42 "CONT_S" SFB43 "PULSEGEN" 用于PID控制 41---连续 42---离散 43---用于将一个模拟量转化为与之对应的周期性开关量脉冲信号,该脉冲的 占空比与模拟量的数值大小成正比. 3. SFC0 "SET_CLK" SFC1 "READ_CLK" 用于读写PLC中的系统时间 4. SFC14 "DPRD_DAT" SFC15 "DPWR_DAT" 用于读写DP从站中的一致性数据如:读写用DP通讯的变频器中的控制字 5. SFC20 "BLKMOV" SFC21 "FILL" 块拷贝,块填充 6. SFC46 "STP" SFC47 "WAIT" SFC46 使PLC进入STOP状态,挺有用的:可以当软件陷阱,或利用上位控制PLC停机 7. SFC60 "GD_SND" SFC61 "GD_RCV" MPI的GD通讯 8.IEC Function Blocks FC22 "LIMIT" FC25 "MAX" FC27 "MIN" FC22 ---限幅输出 FC25,FC27 --- 3个数比大小 9.PID Control Blocks FB41/42/43 同SFB41 "CONT_C" SFB42 "CONT_S" SFB43 "PULSEGEN" FB58 "TCON_CP" FB59 "TCONT_S" 用于温度控制PID 10.Ti-S7 Converting Blocks FC105 "SCALE" FC106 "UNSCALE" 模拟量输入输出的比例和数据类型转换

STEP7常用功能块说明

STEP7常用功能块说明 STEP7 常用功能块说明 1. SFB0 "CTU" SFB1 "CTD" SFB2 "CTUD" SFB4 "TON" SFB5 TOF 兼容IEC61131-3的计数和计时功能块 2. SFB41 "CONT_C" SFB42 "CONT_S" SFB43 "PULSEGEN" 用于PID控制 41---连续 42---离散 43---用于将一个模拟量转化为与之对应的周期性开关量脉冲信号,该脉冲的占空比与模拟量的数值大小成正比. 3. SFC0 "SET_CLK" SFC1 "READ_CLK" 用于读写PLC中的系统时间 4. SFC14 "DPRD_DAT" SFC15 "DPWR_DA T" 用于读写DP从站中的一致性数据如:读写用DP通讯的变频器中的控制字 5. SFC20 "BLKMOV" SFC21 "FILL" 块拷贝,块填充 6. SFC46 "STP" SFC47 "WAIT" SFC46 使PLC进入STOP状态,挺有用的:可以当软件陷阱,或利用上位控制PLC停机 7. SFC60 "GD_SND" SFC61 "GD_RCV" MPI的GD通讯 8.IEC Function Blocks FC22 "LIMIT" FC25 "MAX" FC27 "MIN" FC22 ---限幅输出 FC25,FC27 --- 3个数比大小 9.PID Control Blocks FB41/42/43 同SFB41 "CONT_C" SFB42 "CONT_S" SFB43 "PULSEGEN" FB58 "TCON_CP" FB59 "TCONT_S" 用于温度控制PID 10.Ti-S7 Converting Blocks FC105 "SCALE" FC106 "UNSCALE" 模拟量输入输出的比例和数据类型转换 11、SFC1 读取系统时钟 12、SFC3 启动/停止运行时间定时器 13、OB1:主程序循环

OMRON功能块调用

功能块调用 1.功能块的概述 功能块是一个包含标准处理功能的基本程序单元。该标准处理功能事先已定义好。一旦功能块已定义好,用户即可将功能块嵌入程序中,设置I/O 。这样,即可使用功能。由于是标准处理功能,因此,功能块不包含实际地址,是变量。用户在变量中设置地址或常数。这些地址或常数称作参数。变量自身所使用的地址则由CX- Programmer 自动分配于每个程序。采用CX-Programmer 将单个功能块保存为单个文件而且单个功能块还可用于其他PLC 程序中。因此,标准处理功能可做成库。 2.变量 在功能块中,地址不作为实际的I/O 存储地址输入(见注解)。它们作为变量名输入。每当创建实例时,CX- Programmer 自动将变量所用的实际地址分配在指定的I/O 存储区域内。此外,用户无需知道功能块实际使用的I/O 存储地址正如无需知道计算机实际存储器分配。在这种情况下,功能块与子程序不同。例如,功能块使用变量

可以支持以下变量类型(用法): 内部:在实例中只能使用内部变量。这些内部变量不能直接用来传输数据 至I/O 参数或从I/O 参数中上传数据。 输入:输入变量可以从实例外的输入参数中输入数据。默认输入变量为传 输输入条件数据的EN (Enable)变量。 输出:输出变量可以输出数据至实例外的输出参数。默认输出变量为传输 实例执行状态的ENO (Enable Out)变量。 外部:外部变量是事先由CX-Programmer 寄存的系统定义变量(例如,条件标志和一些辅助区域位)或用户定义的全局符号(用于每个实例数中)。 变量具有以下属性: 变量名可用来识别功能块中的变量。如果其他功能块也使用了相同的名称,这也没有什么问题。 注变量名可达30,000 字符长但不得以数字开始。此外,在一排中,名称不能包含两个下划线字符。字符串不能与变址寄存器相同。例如, IR0 到IR15。在下面数据类型中,选择其中一个作为变量: BOOL、INT、UINT、DINT、UDINT、LINT、ULINT、WORD、DWORD、 LWORD, REAL、LREAL、TIMER、COUNTER AT 设置(分配实际地址)将变量设于特殊的I/O 存储地址中而非系统自动分

PCSVSPAPL库阀门功能块VlvL使用入门

PCS 7 V7.1 SP1 APL库阀门功能块VlvL使用入门 1. APL库介绍 PCS 7 V7.1 SP1为西门子最新一代DCS控制平台,为满足不同行业、不同用户的控制要求,其提供了丰富的控制功能库。功能库有PCS 7 AP Library V71、PCS 7 BasisLibrary V71和PCS 7 Library V71。PCS 7 AP Library(本文将缩写为APL)为高级过程库(非先进过程控制),为V7.1以上版本所提供的全新功能库,其在原有基本控制算法的基础上,增加了许多新的特性,例如,功能块特性参数(Feature)定制,远程/就地模式控制,面板关联,互锁控制,辅助值显示等,可以满足不同行业、不同习惯用户的各种不同应用需求。而且高级过程控制库带有全新V7.1显示风格的图标和面板,显示界面更加丰富,操作方式更加贴近人体工程学的要求。 图1库图 关于APL库的更多信息请参考开始菜单àSIMATIC àDocumentation àEnglish下的“PCS 7 – Manual for advanced process library V7.1”文档和相关在线帮助。其中为满足不同用户、不同行业的控制习惯要求,APL库加入的特性参数(Feature)定制功能,请参考上述手册中的1.5章节。 此文主要将主要介绍APL中的VlvL阀块的使用。 附加信息:PCS 7 V7.1 SP1同时提供的另外两个功能库中,PCS 7 BasisLibrary为基本库,提供PCS 7所需的所有诊断功能块,如CPU_RT,SUBNET,OB_BEGIN等系统块。由系统在编译过程中自动调用,不能在用户程序中人为调用。PCS 7 Library则相当于以前版本的PCS 7 Standard Lib库,包括了原有功能库中除BasisLibrary块之外的其他所有功能块,为兼容原有版本而保留。

AC800F功能块说明

功能块控制状态显示区 功能块名称描述区 AC800F 功能块说明 H_MOTOR 1、 功能面板显示 2、 区域说明 a 功能块名称描述区:设备名称或描述 b 功能块控制状态: 显示设备联锁是否被屏蔽 显示本设备的状态 显示电机电流高报值及高高报值 从上到下依次为:电机连续开机间隔时间(防止电机在短时间内连续启动以保护电机比如:第一次间隔为5分钟、第二次间隔为20分钟等等)、电机上次运行时间、电机本次已运行时间 马达状态描述 白色:表示设备“备妥”,等待启动

灰色,表示设备“未备妥”状态 红色:表示设备“备妥”但是有“故障” 绿色,表示设备“运行”为中控启动 暗绿色,表示设备“运行”为现场启动 手自动切换按钮(手动指在面板操作。自动则由程序控制) 启动按钮 停机按钮 故障复位按钮 3、功能块管脚定义及组态应用 功能块图示:

4、参数面板说明( 在功能块上双击,调出面板)

在上面板填写电机各项参数

功能块控制状态显示区 功能块名称描述区 在上面板内屏蔽电机联锁 在上面板内复位高压电机的连续启动延时及对电机运行时间清零 MOTOR 1、 能面板显示 2、 区域说明

a功能块名称描述区:设备名称或描述 b功能块控制状态: 显示设备联锁是否被屏蔽 显示本设备的状态 从上到下依次为:电机上次运行时间、电机本次已运行时间 马达状态描述 白色:表示设备“备妥”,等待启动 灰色,表示设备“未备妥”状态 红色:表示设备“备妥”但是有“故障” 绿色,表示设备“运行”为中控启动 暗绿色,表示设备“运行”为现场启动 手自动切换按钮(手动指在面板操作。自动则由程序控制) 启动按钮 停机按钮 运行时间复位 3、功能块管脚定义及组态应用

在S7-200中如何建立一个功能块

在S7-200中如何建立一个功能块

第五个地址:VB104存储r 第六个地址:VB105存储t 二、功能块指令的作用 在编写程序过程中有写控制要求或是一些运算会重复 执行的,对于这些会重复执行的程序,为了提高编程的效率,往往会把一些会重复执行的功能编写成一个功能块。或者把一些应用到比较典型的做成一个指令。 二、功能块指令建立方法 在S7-200系列PL的程序结构中,分为主程序、子程序、中断程序。子程序分为带参数的子程序和不带参数的子程序,功能即为一个带参数的子程序。

三、功能块指令的建立 需要建立一个带参数的子程序,那么需要在子程序的变量表中(或接口区)中定义好相应的变量,然后在子程序的编程中,使用在变量表中定义的变量去编写程序,子程序上面就有相应的接口区。

☆数据类型:对应地址,选好数据类型系统自动分配地址:如果选BYTE系统自动寻址→LBX;如果选WORD系统自动寻址→LWX。所以地址的分配不用管系统自动分配,是由数据类型决定的。 四、变量类型说明 变量的类型在使用过程中是不一样的,比如说子程序做好了以后有的位于子程序左侧,有的位于子程序右侧,有的在上面没有管脚。这跟习惯有关,左进右出。

上图中,启动I0.0、停止I0.1是可读→IN的变量 输出Q0.0是可读(自锁)可写(线圈)→ IN_ OUT变量 输出1Q0.1在整个程序中只用了写的功能 →OUT变量 B): 这是自加一指令,将VB0读出再写入VB0→也是IN_ OUT变量 C): A值、B值是输入,所以是IN; ☆IN_OUT与TEMP区别: IN_OUT可读可写在外围会有一个管脚,从外围先 把初始值写进运算;

西门子FB41中PID功能块说明和调整方法

西门子FB41中PID功能块说明和调整方法 FB41称为连续控制的PID用于控制连续变化的模拟量,与FB42的差别在于后者是离散型的,用于控制开关量,其他二者的使用方法和许多参数都相同或相似。 PID的初始化可以通过在OB100中调用一次,将参数COM-RST置位,当然也可在别的地方初始化它,关键的是要控制COM-RST; PID的调用可以在OB35中完成,一般设置时间为200MS, 一定要结合帮助文档中的PID框图研究以下的参数,可以起到事半功倍的效果 以下将重要参数用黑体标明.如果你比较懒一点,只需重点关注黑体字的参数就可以了。其他的可以使用默认参数。 A:所有的输入参数: COM_RST: BOOL: 重新启动PID:当该位TURE时:PID执行重启动功能,复位PID内部参数到默认值;通常在系统重启动时执行一个扫描周期,或在PID进入饱和状态需要退出时用这个位;

MAN_ON:BOOL:手动值ON;当该位为TURE时,PID功能块直接将MAN 的值输出到LMN,这可以在PID框图中看到;也就是说,这个位是PID的手动/自动切换位; PEPER_ON:BOOL:过程变量外围值ON:过程变量即反馈量,此PID可直接使用过程变量PIW(不推荐),也可使用PIW规格化后的值(常用),因此,这个位为F ALSE;copyright plc资料网 P_SEL:BOOL:比例选择位:该位ON时,选择P(比例)控制有效;一般选择有效; I_SEL:BOOL:积分选择位;该位ON时,选择I(积分)控制有效;一般选择有效; INT_HOLD BOOL:积分保持,不去设置它; I_ITL_ON BOOL:积分初值有效,I-ITLVAL(积分初值)变量和这个位对应,当此位ON时,则使用I-ITLVAL变量积分初值。一般当发现PID功能的积分值增长比较慢或系统反应不够时可以考虑使用积分初值; D_SEL :BOOL:微分选择位,该位ON时,选择D(微分)控制有效;一般的控制系统不用; CYCLE :TIME:PID采样周期,一般设为200MS; SP_INT:REAL:PID的给定值; PV_IN :REAL:PID的反馈值(也称过程变量); PV_PER:WORD:未经规格化的反馈值,由PEPER-ON选择有效;(不推荐) MAN :REAL:手动值,由MAN-ON选择有效; GAIN :REAL:比例增益;

功能模块说明(登陆页)(如图)

功能模块说明(登陆页)(如图) (一)用户登入界面:该界面主要包括一个用户登入口、用户注册接口、取回用户密码接口、公告栏和资源数量统计栏。现分别说明其功能: 1、用户登入:用户在确认自己已经是本系统的会员的情况下,通过输入用户名和密码,进入系统的验证中心,如果通过验证则进入本系统首页,否则系统将显示出错页面。 2、用户注册:任何用户都可以注册成为本系统的会员,该模块就是提供用户注册的平台,用户通过提交注册信息(关键字:用户名,用户密码,确认密码,E-MAIL,密码提示问题,密码提示问题答案,)管理员进行审核。 3、公告栏:即新闻发布栏主要是对图书馆的一些新书发布以及使用说明的发布等。 4、资源数量统计栏:主要是对学校购买资源的各类进行统计。包括:时间统计、年、月、周、日统计,在线用户管理。 5、对访问页面的统计 (二)首页:该界面主要包括整个系统的大部分模块的部分功能显示,比如栏目管理有外文图书、中文图书、知识视频、百科知识、影视精

品、音乐天堂、图片世界和课件的显示,系统管理员可根据当地教育需求对相应的内容进行管理、添加与删除形成个性化的特色资源库。所有数据均采用本地镜像安装方式,同时提供远程访问方式,方便用户选择。可以修改用户的访问权限和校园网IP范围。 我的图书馆、分类搜索、最新加入、软件下载、更改密码、系统管理和帮助的显示等等(如图) (三)我的图书馆:建立读者个人书架,储存个人藏书、读书笔记、通讯录。并可对藏书按照自己的分类方式进行分类管理;可以自行修改用户的访问权限和校园网IP范围。校园网IP外提供IP地址也可访问。 (四)分类搜索(包括全文搜索):除了根据专业、出版社、等检索的标准检索、还可进行名称、作者、ISBN、日期、全文检索等标准检索。(如图)

相关文档