文档库 最新最全的文档下载
当前位置:文档库 › 高速数字系统设计

高速数字系统设计

高速数字系统设计
高速数字系统设计

前 言

总 纲

这本书涵盖了设计现代高速数字系统所必须的理论知识和实际经验。本书将带领读者学习高速设计所要求的各方面知识:从基本的传输线理论到数字时序分析,高速测量技术,以及许多别的主题。这样做,可以在理论和实际应用之间达到一个独特的平衡,读者不仅可以理解问题的本质,而且也能从中得到解决问题的实践指导。对于理论的理解,可以使读者能够以本书中没有提到的实际经验来解决问题。本书中所含的大量信息在过去的数字设计中一直没有被用到,但是在今天却是必须的。这儿涉及的绝大部分内容都没有在标准的大学课程中提到,至少没有在其关注的焦点,电子工程中最重要的领域之一的“数字设计”理论里被提及。

本书的核心是设计鲁棒的大型高速数字产品,例如计算机系统,尤其是计算机总线的设计。当然,这里阐述的各种理论也可以被应用到任何高速数字系统中。本书中涉及的所有技术已经在工业的实际数字产品中得到应用,并且已经成功的批量生产和销售。

学完了基本的电磁或微波理论课的工程师以及大学生和研究生们可以完全理解这本书中提到的理论。实际上,基本电路理论是本书中应用的所有公式所要求的背景知识。

第一章, 描述了理解这本书中所教的课程的重要性。(作者:Garrett Hall)

第二章, 介绍了基本的传输线理论,以及针对各种不同电路的终端匹

配方法。这一张是理解之后大量内容的基础。(作者:Stephen

Hall)

第三章和第四章,介绍了串扰的影响,解释了它们与数字时序的关系,并且探讨了非理想传输线的影响。(作者:Stephen Hall) 第五章, 解释了芯片封装,过孔,连接器以及其他因素对数字系统性能的影响。(作者:Stephen Hall)

第六章, 解释了同步开关噪声和非理想回流路径扭曲等因素所造成的影响,如果没有进行合适的考虑,它们可能使系统崩溃。(作

者:Stephen Hall)

第七章, 讨论了那些用来为驱动数字信号到总线上的输出缓冲器建模的不同方法。(作者:Garrett Hall)

第八章, 详细解释了系统级别的数字时序。描述了隐藏在不同时序安排后面的理论知识以及它们对贯串整本书的高速数字设计的

影响。(作者:Stephen Hall)

第九章, 解决了一个最难实现同时也是最可能遇到的挑战,那就是处理大量的可影响系统的变量,并减少他们,使之可以管理。

这章解释了如何使一个难处理的问题变得容易处理。这里介

绍了一个特殊的设计方法,这种方法过去常常被用来产生高

性能的数字系统。(作者:Stephen Hall)

第十章, 涵盖了电磁辐射的相关主题,这常常令系统设计者们非常害

怕,因为一直要到系统原型出来以后辐射问题才能得到解决,

然而等到原型出来以后,所做的改变又将付出昂贵的代价,

并且时间也非常有限。(作者:Garrett Hall)

第十一章, 涵盖了对高速数字系统进行精确测量的各个实际方面。(作者:James McCall)

第一章互连设计的重要性

光速已经太慢了,当前大规模生产的普通数字电路要求时序控制达到皮秒的范围。光从人的鼻子传输到耳朵所需要的时间大概为100ps(在100ps的时间里,光将传输1.2英寸)。这样级别的时序控制不但要维持在硅芯片里,而且还必须在级别更大的系统板上实现,比如一个计算机的主板。在这些系统中,将器件互连的导体不应再被看作一根简单的导线,而是呈现了高频效应的传输线。如果这些传输线没有被合理的设计,他们将在不经意间毁灭系统时序。有些数字设计(并非全部)的复杂程度已经达到甚至超过了模拟电路设计。数字技术经历了令人瞩目的空前发展。确实,在技术公司的市场部存在着这样的信条:如果让市场来告诉你公众需要什么,那已经太迟了!

本书将要解决由于数字电路的迅速发展而而带来的技术瓶颈。这要求现代数字电路设计者们掌握以前不需要的知识,而很多人却没有。相关知识的缺乏导致了大量的错误信息在工程师中流行起来,高速设计的概念经常成为了谬论,由于知识的缺乏,这些谬论一直没有得到解决。事实上,许多相同的概念已经在电子工程的其他学科被用了几十年。例如射频设计和微波设计。问题是阐述相关主题的参考书都太抽象而不能立即的被电子工程师接受,又或者工程师们太注重实际而没有足够多的理论知识来完全理解相应的主题。本书将直接针对数字设计领域,以一种让工程师或学生能够理解的方式来讲解一些必要的概念,以使他们能理解并解决目前及将来的问题。值得注意的是,本书所讲的内容已经被成功的运用到了现代设计当中。

1.1 基础知识

读者应该知道,数字设计的基本思想是进行信号通讯,这些信号以0s或1s来表达并传递信息。典型的数字电路是发送或接受一系列的梯形电压波(如图1.1所示)来进行通讯,这里,高电平代表1,低电平代表0,数字电路之间用来传输信号的路径被称作互连。互连是从发送信号的芯片到接收信号芯片间的完整的电子路径,它包括芯片封装、连接器,插座及许多其他的结构。一组互连被称作总线。数字信号接受设备用来区别高电压和低电压的区域被称为阈值区。 在这区域里面, 接收器有可能识别信号为高,也有可能识别为低。 在硅芯片中,实际的开关电压因温度、供应电压,硅芯片的生产过程及其他的变量的变化而变化。从系统设计者的观点来看,通常每一个接收芯片都有其识别信号状态为高或低的电压阈值,即Vih 和 Vil。当信号电压值位于Vih上方或Vil下方时,其状态在所有的情况下都可以被接收芯片正确的识别。 因此,为了确保信号完整性,设计者必须保证系统在所有的情况下,状态为高时的电压值不低于 Vih,低时不高于Vil。

为了最大化数字系统的运作速度,通过状态不确定区的开关时间必须被减到最少。 这意谓着数字信号的上升和下降时间得尽可能地快。尽管在理想情况下,无限快的边沿速率应该被使用,但实际存在的很多问题限制了这种可能。实际上,我们很可能遭遇几百皮秒的边沿速率。通过傅立叶分析,读者可以验证边沿速率越快,那么更高的频率成分将在信号的频谱中被发现。对于这个难于理解的问题可以这样理解,每根导线都存在电容,电感和与频率

图1.1、数字信号波形

相关的电阻。当频率足够高是,这些东西都是不可忽略的。因此导线不再是一根简单的导线,在波形从驱动芯片传播到接收芯片的过程中,其上面分布的寄生参数将产生延迟,其瞬间阻抗变化会引起信号波形失真、干扰等,并使系统失灵。导线现在成为了一种被耦合到其周围所有事物的元素,其中包括电源和地结构及其他的迹线。 信号并不被完全地包含在其自身的导线中,而是一个围绕着导线周围的所有的局部电磁场的组合体。在一条互连中的信号将影响其他的互连中的信号,同时也被它们所影响。此外,在高频时,复杂的相互作用将发生在相同互联的不同部分,例如封装,连接器,过孔,和拐角处。 所有的这些高速效应容易产生奇特的,失真了的波形,这的确会让设计者以一个完全不同的观点来对待高速逻辑信号。在保证合适的信号以适当的时间通过Vil和Vih的简单工作中,互连附近的每个结构的物理和电子属性都扮演着一个重要的角色。 这些事物也决定了系统将会辐射多少能量到外部空间中,这又会导致了政府决定是否系统遵从相应的辐射要求。我们将会在较后的章节中看到如何解释所有的这些事物。

当一个导体必须被看作一系列的分布的电容和电感时候, 它就被认为是一条传输线。一般来说,当被考虑的电路尺寸接近信号中所关心的最高频率的波长时,应该按照传输线来对待。在数字世界中,因为边沿速率几乎完全决定了信号中的最大的频率成分,因此人们能像图1.2所显示的那样用信号的上升和下降时间来对比电路尺寸,这样可以替代地决定电路是否按照传输线来处理。在典型的线路板上,信号大致按照光速的一般来传播 (精确的公式将会是在较后的章节中),因此500ps的边沿相当于在信号在线路上传播 3 in.长度的时间。通常认为, 任何超过相当于1/10 边沿时间的走线都必须被看作传输线。

图 1.2: 上升时间和线路长度。

高速设计中最困难的方面之一是各种影响数字设计结果的变量相互作用和依赖这个事实。其中一些变数是可控的,而另一些则迫使设计者任其自由变化。高速设计的难点其中一个方面就是表现在如何处理这些变量,而无论他们是否可控。通常,设计者可以忽略或假定一些变量的值来简化设计,但这也可能导致无法从未知的失败中找到起因。随着设计周期的限制,过去的简化过程正快速地从现代设计者的程序中减少。这本书也将讨论如何合并大量的变量,不然的话,很多问题将很难处理。没有一种方法能够操作大量的变数,无论设计者对系统的理解有多么深刻,最后设计还是要求助于臆测。处理所有变数的最后步骤通常是最困难的部分,并且这一部分通常容易被设计者忽略。一个设计者在无法处理大量变数情况下将会最终改为得到一些 "要点问题", 而且希望它们近似地表现为所有已知情况。有时这种方法是不可避免的,这可能是一种危险的假设游戏。 当然,一定的假设总是在设计中存在,但是系统设计者的目标应该将不确定性减到最少。

过去和将来

戈登摩尔,英特尔公司的合伙创办人,曾经预测计算机的性能每18个月会翻一番。历史验证了这个有洞察力的预言。显然,计算机的性能几乎每1.5年便增加一倍,同时它们的价格却在减少。有关测量处理器性能的方法是内在的时钟频率。图1.3展示了过去各个时期的许多处理器与其内部的时钟频率。从现在的角度看,即使图中最快的处理器或许也不能满足人们的需求。重点是计算机的速度正随着核心频率呈指数地增加,如图1.4所示,负责吞吐信息到处理器的总线的数据率越快,那么将导致互连的时序预算按指数减少。减少时序裕量意味着应该适当地考虑一些可能导致数字波形时序不确定性的现象,这对于信号能够正确到达接收芯片甚至更重要。这有两个无法避免的障碍会使数字系统设计非常困难。第一个障碍是数字设计中必须考虑的变量的绝对数量正在增加。 随着频率的增加,那些在低速设计中可以被忽略的新的影响开始变得重要。一般而言,设计的复杂度随着变量的增加按照指数增加。 第二个障碍是在过去的设计中可能被忽略的新效应必须以非常高的精度去建模。这些新模型在本质上经常是三维的,或要求专门的模拟技术,而这些技术往往又超出了数字设计者的知识范畴。对于围绕处理器的子系统来说,这些障碍也许具有更深远的意义,因为他们相对来说更慢一些,但又不得不满足处理器不断增长的需求。

图 1.3:莫尔定律。

图 1.4: 随着系统频率的增加互连的时间裕量减少。

所有的这些导致了目前的情况:新的问题有待解决。能解决这些问题的工程师将会决定未来。这一本书将会使读者具备必要的实际经验来理解现代高速数字设计的内容,同时也会使读者具有足够的理论来看清本书以外的世界,解决作者目前还没有遇到的问题。谨此。

第二章理想传输线原理

在当今的高速数字系统设计中,已经必须把PCB或多片模块(MCM)的走线当作传输线来处理。我们再也不能如同处理低速设计一般,视互连为集总电容或简单的延迟线。这是因为与传输线相关的时序问题在总的时序裕量中占有越来越大的比例。我们要对PCB的结构给予极大的关注,这样才能使传输线的电气特性得以控制并可预测。本章将介绍用于数字系统中的典型的基本传输线结构和理想情况下的基本传输线理论。本章介绍的内容将提供充分理解随后章节所需的必要知识基础。

2.1 PCB或MCM中的传输线结构

典型PCB或MCM中所见到的传输线结构是由嵌入或临近电介质或绝缘材料,并且具有一个或多个参考平面的导线构成。典型PCB中的金属是铜,而电介质是一种叫FR4的玻璃纤维。数字设计中最常见的两种传输线类型是微带线和带状线。微带线通常指PCB外层的走线,并且只有一个参考平面。微带线有两种类型:埋式或非埋式。埋式(有时又称作潜入式)微带线就是将一根传输线简单地嵌入电介质中,但其依然只有一个参考平面。带状线是指介于两个参考平面之间的内层走线。图2.1所示为PCB上不同元件之间的内层走线(带状线)和外层走线(微带线)。标识处的剖面图显示了传输线与地/电源层的相对关系。在本书中,传输线通常会用剖面图的方式来表示。这在对以后计算和直观化不同传输线的描述参数很有用。

图2.1典型PCB设计的传输线

如图2.1所示的多层PCB能提供多种带状线和微带线结构。要求进行导体层和绝缘层的控制(即叠层)以使传输线的电气特性可以预测。高速系统中,传输线电气特性的控制是极重要的。本章定义的基本电气特性称为传输线参数。

2.2 波形传播

在高频中,当数字信号的边沿速度(上升或下降时间)比在PCB走线上传送的电信号的传播延迟来得小时,信号将受到传输线效应的极大影响。电信号在传输线的传送方式就如水流过一根长的方形管子一样。这就是所谓的电波传播。就如水是以波的形式流过管子,电信号会以波的形式沿传输线传送。另外,就如水在一定时间里流过管子一定长度,电信号也将在一定时间里沿着传输线传送一定长度。进一步打个简单的比方,传输线上的电压比作水在管子中的高度,而电流比作水的流量。图2.2所示为表示传输线的常见方

式。上面的线是信号路径,而下面的线是电流的返回路径。电压V s是从节点A输入的初始电压,而V s和Z s是通常被定义为信号源或者激励的输出缓冲器的戴维南等效描述。

图2.2 描述数字信号在传输线上传播的典型方法

2.3 传输线参数

为了分析高速数字系统的传输线效应,必须定义传输线的电气特性。定义传输线基本电气特性的是它的特性阻抗和它的传播速度。特性阻抗类似于水管的宽度,而传播速度类似于水流过管子的速度。为了定义和导出这些术语就有必要分析传输线的基本特性。如图2.2所示,沿着传输线传送的信号将会在信号路径和回流路径(通常被称为地回路或地,甚至参考平面是电源层)之间产生一个电压差。当信号到达传输线上任意点Z时,位于信号路径上的导线将存在一个V i伏特的电势,而地回路导线上存在0V的电势。这个电压差在信号和地回路导线之间建立一个电场。而且,安培定律表明任何给定封闭路径所包围磁场的线积分等于此路径所包围的电流。用简单术语来说就是,如果一个电流流过导体,它将形成一个环绕导体的磁场。因此可以确定,如果一个输出缓冲器向传输线注入一个电压Vi、电流Ii的信号,那么它将分别感应出一个电场和一个磁场。然而,应该明白的是直到时间z/v为止,线上任意点Z的电压Vi和电流Ii,都将会是零, v 是信号沿着传输线的传送速度,而z是到源端的距离。注意,这个分析暗示信号不仅仅在传输线的信号导线上传播;当然,它会以电磁场的形式在信号导体和参考平面之间传播。

既然建立了传输线的基本电磁特性,它就有可能为线的一段构造一个简单电路模型。图 2.3所示为微带状传输线的一个截面和线上电流相关的电磁场模型。如果假定在Z方向(指向纸内)没有电场或磁场的分量传播,电场和磁场将是正交的。这就是所谓的横向电磁场模型(TEM)。传输线在通常情况下将会处于TEM 模型中传送,而且它甚至在相对高频还是适当的近似值。这就允许我们分析沿着Z方向传播的导线的微分段(或片)传输线。图 2.3 所示的二个分量是长度dz的无限小或微分段(片)传输线的电磁场。因为在电场和磁场中都储存了能量,所以我们的电路模型要包括与这个能量储存有关的电路元件。传输线的一个微分段的磁场可用一个串联电感Ldz来代表,L是单位长度电感。一个长度为dz的信号路径和地回路之间的电场可用并联电容Cdz来代表,C为单位长度电容。理想模型应该是由无限多个这种小部分成批级联而成。这个模型足够描述无损传输线的一个区段(例如,一条无阻的传输线)。

图 2.3: 微带线的截面描述了假定电信号沿线进入纸内传播的电磁场

然而,因为PCB板使用的金属不是无限传导的并且绝缘材料也不是无限阻尼的,所以损耗结构必须以一个串联电阻Rdz和一个接地的并联电阻Gdz的形式加入到模型中,电导单位为西门子(1/ohm)。图2.4所示为传输线微分段的等效电路模型。串联电阻Rdz代表导体有限传导率引起的损耗;并联电阻Gdz代表分隔导体和地层的电介质的有限电阻引起的损耗;串联电感Ldz代表磁场;而电容Cdz代表导体与地层之间的电场。在本书剩余部分,这些部分之一被称为RLCG单元。

图2.4 长度为dz的传输线微分段的等效电路模型(RLCG模型)

2.3.1. 特性阻抗

传输线的特性阻抗Zo定义为线上任意点的电压波和电流波的比率,即V/I =Zo。图2.5所示为一条传输线的二种表示法。图2.5a所示为用上述RLCG单元建模的长度为dz传输线的微分段,并且用一个阻抗为Zo的器件终结。RLCG单元的特性阻抗被定义为电压V和电流I的比率,如图2.5a所示。假定负载Zo完全等于RLCG 单元的特性阻抗,图2.5a所示可以用图 2.5b 所示的无限长传输线表示。图2.5a中的终端Zo简单表示了组成整个传输线模型的无限个阻抗为Zo的附加RLCG片段。因为终接装置处电压/电流比率Zo将会与RLCG片段的相同,则从电压源看过去,图2.5a和b是没有区别的。利用这个简化就可以导出无限长传输线的特性阻抗。

图2.5 导出传输线特征阻抗的方法:a)微分片段;b)无限长传输线 为了导出传输线的特征阻抗必须分析图2.5a。假定线的特征阻抗等于终端阻抗Zo,求解图2.5a的等效电路的输入阻抗得到等式2.1。为了简单起见,微分长度dz用一小段长度?z替代。推导如下: 假定,

jwL(Δz) + R(Δz) = ZΔz(线长Δz的串联阻抗)

jwC(Δz) + G(Δz) = YΔz(线长Δz的并联阻抗)

则有,

因此,

其中,R 为每单位长度欧姆,L 为每单位长度亨利,G 为每单位长度西门子,C 为每单位长度法拉,而w 为每秒弧度。因为R 和G 都比其他项要小得多,通常特征阻抗近似为是足够的。仅在甚高频或有极大损耗线时,阻抗的R 和G 分量才变得重要(有损传输线包含在第四章)。有损耗的线也将导出复杂的特征阻抗(如含有虚部分量)。不过为了数字设计的目的,只有特征阻抗的幅值才是重要的。

(2.1)

为了得到最大的精确度,需要使用众多商业可用的二维电磁场求解器之一去计算设计目的的PCB走线阻抗。求解器将提供单位长度的阻抗、传播速度、L和C分量。这就足够了,因为R和G通常对阻抗影响极微。如果没有场求解器时,图2.6所示的公式将提供典型传输线阻抗值的有效近似,它是走线的几何结构和介电系数(r ε)的函数。更精确的特征阻抗公式如附录A所示。

图2.6 典型传输线的特性阻抗近似:(a)微带线;(b)对称带状线;(c)偏移带状线。

2.3.2 传播速度、传播时间和传播距离

传输线上的电信号的速度传播将由周围介质决定。传播延迟通常用米每秒来度量,它是传播速度的倒数。传输线的传播延迟按周围介质系数的平方根的比例增加。传输线的时间延迟仅指信号传播过整个线长所用的时间总量。以下等式表示了介电系数、传播速度、传播延迟和时间延迟之间的关系:

(2.2)

(2.3)

(2.4)

其中,

v =

传播速度,单位m/s c =

真空光速 (3 × 108

m/s) εr =

电介质常数 PD

= 传播延迟,单位s/m TD

=

信号在传输线上传播长度x 的时间延迟 x

= 传输线长度,单位m 时间延迟也可以由传输线的等效电路模型求出:

(2.5)

其中L 是线长的总串联电感,而C 是线长的总并联电容。

必需注意等式(2.2)到(2.4)是假设没有磁性材料存在的,即μr = 1,这是由于在公式中不考虑磁性材料。

传输线的延迟取决于绝缘材料的介电系数、线长和传输线剖面几何结构。剖面几何结构决定了电场是完整地抑制在板内还是散射到空中。由于典型的PCB 板是用介电系数近似为4.4的FR4制造的,而空气的介电系数是1.0,最后得到的有效介电系数是两者加权平均。电场在FR4的数量和在空气的数量决定了有效值。当电场完全被包围在板内时(例如带状线)其有效介电系数将大一些,因而信号将比外层走线传播慢一些。当信号走在板子的外层时(例如微带线),电场散射到绝缘材料和空气中,具有较低的介电系数;因而信号将会比内层的传播更快。

微带线的有效介电系数计算如下:

(2.6)

(2.7)

其中εr 是板材的介电系数,H是导线到地层的高度,W是导线宽度,而T是导线厚度。

2.3.3 SPICE 仿真等效电路模型

在2.3节中我们介绍了由无限数量RLCG 片段串联而成的传输线等效分布电路模型。因为用无限个单元建模传输线是不实用的,所以在仿真中足够数量的单元是基于最小上升或下降时间来决定的。仿真数字系统时,当所选的值满足最短RLCG

片段的时间延迟(LC TD =)不大于最小的系统上升或下降时间的十分之一时,通常就足够了。上升或下降时间定义为信号在最小量和最大量之间转换的时间量。典型的上升时间是指最大幅值由10%达到90%之间的时间。例如,如果信号从0V 转换到1V,那么上升时间是指电压从0.1V 达到0.9V 的时间。

经验法则: 选择足够数量的RLCG 片段

当使用一个分布RLCG 模型建模传输线时,RLCG 片段的数量由下式确定:

其中x 是传输线的长度,v 是传输线的传播速度,而T r 是上升(下降)时间。模型中的每

个参数应该与片段数量成比例。例如,如果知道每米的参数,则用于单个片段的最大值为:

例子2.1: 创建传输线模型。

创建一条剖面如图2.7a所示的长5英寸无损耗50Ω传输线的等效电路模型。假定驱动器的最小上升时间2.5ns,介电系数为4.5。

图2.7 创建传输线模型:(a)剖面图;(b)等效电路。

解法:首先计算传输线的电感和电容。因为没有求解器可用,所以要用以上所述的等式。

如果传输线是微带线,计算速度的过程是一样的,但是有效介电系数要用等式(2.6)来计算。

因为和,我们有两个等式和两个未知数。求解L和C。

以上L和C值是5英寸线的总电感和总电容。

因为3.6不是约整数,所以我们在模型中使用4个片段。

最后无损耗传输线等效电路如图2.7b所示。仔细检查以确认满足经验法则。

2.4 发射初始波和传输线反射

激励电路特性和传输线特性极大地影响了从一个装置传送到另一个装置信号的完整性。其次,理解信号如何发射进入传输线和它在接收器端看起来如何是很重要的,虽然很多参数会影响接收器端信号的完整性。本节中我们将描述最基本的性能。

2.4.1 初始波

当驱动器发射一个信号进入传输线时,信号的幅值取决于电压、缓冲器的内阻和传输线的阻抗。驱动器端看到的初始电压决定于内阻和线阻抗的分压。图2.8描述了一个初始波被发射进入传输线。初始电压Vi 将沿着传输线传播直到它到达终端。Vi的幅值决定于内阻和线阻抗之间的分压:

(2.8)

图2.8 发射一个波进入一条长传输线

如果传输线末端终接的阻抗正好和线的特征阻抗匹配时,幅值为Vi的信号端接到地,这样电压Vi将保持直到信号源再次转变。这种情况下电压Vi是直流稳态值。否则,如果传输线的末端出现的阻抗不同于传输线特征阻抗,信号的一部分端接到地,而信号的剩余部分将沿着传输线向源头端反射回去。反射系数决定了反射回去的信号数量,它被定义为给定节点上的反射电压和入射电压的比值。在本文中,节点定义为传输线上的阻抗不连续点。阻抗的不连续可以是一段不同特征阻抗的传输线、一个终端电阻或芯片上缓冲器的输入阻抗。反射系数计算如下:

(2.9)

其中Zo为传输线特征阻抗,而Zt是不连续的阻抗。等式假定信号沿着特征阻抗为Zo的传输线行进并遇到不连续阻抗Zt。注意:如果Zo等于Zt则反射为零,意味着没有反射。Zo等于Zt的情况称为终端匹配。

如图2.9所示,当入射波达到终端Zt时,信号的一部分Viρ被反射回到源头端,并与入射波叠加在线上产生一个总的幅值Viρ+Vi。反射的分量将会传播回源头端,并可能产生另一次离开源头的反射。这个反射和逆反射过程将继续,直到传输线达到稳定状态。

图2.9 入射信号被不匹配负载反射

图2.10描述了反射系数的特殊例子。当传输线终接了一个正好等于特征阻抗的值时,将没有不连续,而信号将不反射地终接到地。当负载开路和短路时反射是100%,不过反射信号分别是正的和负的。

图2.10 特殊情形的反射系数:(a)终接Zo;(b)短路;(c)开路。

2.4.2 多次反射

如上所述,当信号在线终端处的阻抗不连续点被反射时,信号的一部分将反射回源头。当反射信号到达源头时,若源头端阻抗不等于传输线阻抗就将产生二次反射。接着,若传输线的两端都存在阻抗不连续,信号将在驱动线路和接收线路之间来回反射。信号的反射将最后达到直流稳态。

如图2.11所示为几个TD的时间区间的一个例子(TD为从源到负载的传输线的时间延迟)。当信号源转变为Vs,传输线上的初始电压Vi决定于分压式Vi=VsZo/(Zo+ Rs)。当t=TD时,初始电压Vi达到了负载Rt。此时产生幅值为i B V ρ的反射分量,它和初始电压叠加在负载处产生总电压i B i V V ρ+(其中B ρ是负载端的反射系数)。波的反射分量(i B V ρ)然后传播回到源端,并在t=2TD时产生一个由i B A V ρρ决定的离开源端的反射(A ρ是源端的反射系数)。此时源端的电压将是先前的电压(Vi)加上来自反射的入射瞬态电压(i B V ρ)再加上反射波(i B A V ρρ)。反射和逆反射将持续到线上电压趋近稳态直流值。如读者所见,若终端不匹配,反射要一段长的时间才能稳定下来,并会有一些重要的时序影响。

图2.11 传输线反射的例子

明显手工计算多次反射是相当繁重的。一种推算信号反射效应的更简单方法就是使用网格图。

网格图和过载/欠载传输线

网格图(有时称为反弹图)是用于解决带线性负载传输线上多次反射的方法。图2.12所示为网格图实例。左右两侧的垂直线分别代表了传输线的源头端和负载端。垂直线之间的斜线代表了信号在源头和负载之间来回反弹。图从上到下表示时间的增加。注意:时间的增量等于传输线的时间延迟。图中垂直线的顶部标识了反射系数,反射系数表示了传输线和负载之间的反射(从线看进负载)以及源端的反射系数。小写字母表示沿着传输线传播的反射信号的幅值,大写字母表示源端看到的电压,而带逗号的大写字母代表负载端看到的电压。例如,参照图2.12,线的近端将保持A伏的电压,且持续时间为2N皮秒,其中N是传输线的时间延迟(TD)。电压A就是初始电压V initial ,它将不变直到负载端的反射到达源端。电压A'就是电压a加

上反射电压b。电压B是初始电压a、负载端的发射信号b和源头端的反射信号c的总和。如果传输线开路,线上的反射最终使电压稳定为源端电压Vs。然而,如果传输线终接电阻Rt,稳态电压如下计算: t s t

s R R R V + (2.10)

图2.12 用于计算传输线多次反射的网格图

例子2.2: 欠载传输线的多次反射。

如上所述,当驱动器发射信号进入传输线时,传输线上呈现的初始电压决定于驱动器阻抗Zs 和线阻抗Zo 之间的分压。如图2.13所示,这个值为0.8V。初始信号0.8V 将沿着传输线传播直到到达负载。此特殊情况下,负载开路因而反射系数为1。接着,整个信号被反射回源头端,并和入射信号0.8V 叠加。则在t=TD (本例中为250ps)时,负载端的信号为0.8+0.8V(或1.6V)。0.8V 反射信号将沿着传输线向源头端传播。当信号到达源头,信号的部分将反射回负载端。反射信号的幅值决定于传输线阻抗Zo 和源头端阻抗Zs 之间的反射系数。本例中反射回负载端的值为0.8V*0.2,即0.16V。反射信号将与传输线上已有的信号叠加,总的幅值为1.76V,带着0.16V 的反射部分移向负载端。这个过程将重复直到电压达到2V 的稳态值。

图2.13 例子2.2:用于计算欠载传输线多次反射的网格图

网格图的响应如图2.13右下角所示。响应的计算机仿真如图2.14所示。注意:尽管电压源的空载输出是方波,反射依然引起波形在接收端呈现“阶梯步幅”。这种效应发生在源头端阻抗Zs比传输线阻抗Zo大的时候,并被称为欠载传输线。

图2.14 例子2.2的传输线系统仿真,其中传输线阻抗小于源头端阻抗(欠载传输线)

例子2.3:过载传输线的多次反射。

当传输线阻抗大于源头端阻抗时,看进源头端的反射系数将为负数,这将产生“振铃”效应。此所谓过载传输线。如图2.15所示为过载传输线的网格图。图2.16是图2.15所示系统响应的SPICE仿真。

图2.15 例子2.3:用于计算过载传输线多次反射的网格图。

图2.16 例子2.3所述传输线的仿真,其中传输线阻抗大于源头端阻抗(过载传输线)。

接着,考虑如图2.17所示的传输线结构。此结构由两个传输线片段串联组成。第一部分,长度为X,特征阻抗为Zo1欧姆。第二部分,长度也为X,阻抗为Zo2欧姆。最后,结构终接Rt。当信号遇到Zo1/ Zo2阻抗结点时,部分信号将被反射(决定于反射系数),而部分信号将被传送(决定于传输系数):

(2.11)

图2.17 多阻抗传输线系统的网格图。

图2.17也描述了网格图是如何用于解释一个具有多于一个特征阻抗的传输线系统的多次反射。注意,在本例中传输线是等长的,这简化了问题,因为每段的反射是同相的。例如,参照图2.17并注意,反射e 直接叠加到反射f。当两条传输线不等长时,一段的反射将与另一段的反射不同相,这彻底使图复杂化了。一旦图2.17所示系统的点变得复杂,使用如SPICE的仿真器来求解系统更可取。

Bergeron图和非线性负载反射

Bergeron图是另一种用于解答传输线多次反射的方法。系统中存在非线性负载和源的时候,Bergeron 图用来替代网格图。需要Bergeron图的好例子就是,当传输线终接一个钳位二极管以防止过度的信号过冲或静电放电引起的破坏。此外,输出缓冲器很少完美地呈现线性I-V特性;因而如果知道缓冲器的I-V特性,Bergeron图将给出反射更准确的表达。

参照图2.18。为作Bergeron图,绘制负载和源端的I-V特性曲线。源端I-V特性曲线有负的斜率-1/Rs,因为电流偏离原点并且和X轴的交点在Vs。然后,由传输线的初始状态(如,V=0,I=0)开始,作一条斜率1/Zo 的直线。此线与源端I-V特性曲线的交点给出了头端t=0时传输线上的初始电压和电流。你可以把这作为负载图。从与源端直线的交点作一条斜率-1/Zo的直线,并延伸到负载特性曲线。与负载线的交点定义了t=TD 时负载端的电压和电流,其中TD是传输线的时间延迟。交替使用的斜率1/Zo和-1/Zo重复这个过程,直到传输线矢量到达负载线与源端线的交点。传输线矢量与负载及源头I-V曲线的交点给出了稳态的电压和电流值。图2.19是计算一个类似系统响应的例子,其中Vs=3V,TD=500ps,Zo=50Ω,Rs=25Ω,且二极管如等式所示工作。

图2.18 用于计算非线性负载多次反射的Bergeron图

图2.19 用于计算带二极管终端传输线多次反射的Bergeron图

记住:当源头或负载任何一个呈现非线性I-V特性曲线时,使用Bergeron图计算传输线的反射。

2.4.3 上升时间队反射的影响

当上升时间变得小于传输线延迟(TD)的两倍时,上升时间开始对波的形状存在重要的影响。图2.20和2.21分别显示了欠载和过载传输线上边沿速度产生的影响。留心当上升时间超过传播延迟两倍时波的形

状变化有多大。当边沿速度超过两倍线延迟时,来自源头的反射在一个状态到另一状态转换(如,高-低或低-高转换)完成之前到达。

图2.20慢边际速度的影响(过载)

图2.21 慢边际速度的影响(欠载)

2.4.4 电抗性负载的反射

在实时系统中少有负载是纯电阻的例子。例如,CMOS门的输入是趋向容性的。另外,芯片封装的接头线和引线框完全是电感性的。这使得有必要理解这些电抗性元件如何影响系统中的反射。本节介绍电容和电感对反射的影响。这些知识将作为以后章节更多细节地探讨电容性和电感性寄生效应的基础。

容性负载的反射

当传输线终接一个电抗性元件(如电容)时,驱动端和负载端的波形将有一个与典型传输线响应完全不同的形状。实质上,电容是时间相关负载,当信号到达电容时开始看起来是短路,而当电容完全充电之后看起来是开路。让我们考虑t=TD和t=t1时的反射系数。当t=TD时,信号已经沿着传输线传播并到达容性负载,电容还没有充电并看起来是短路。如本章先前所述,短路电路的反射系数为-1。这意味着幅值V 的初始波形将被反射离开负载(幅值-V)形成初始电压0V。电容开始以决定于τ的速度充电,τ是RC电路的时间常数,其中C是终端电容,R是传输线的特征阻抗。一旦电容充电完全,反射系数将为1,因为电容类似于开路。开始于t=TD的电容电压如下式:

(2.12)

(2.13)

图2.22所示为容性负载传输线的响应仿真。负载电容10pF,线长3.5英寸(TD=500ps),驱动器和传输线阻抗都是50Ω。注意源端(节点A)波形的形状,它在1ns(2TD)时向0下降又上升,此时来自负载的反射到达源头端。它向零下降,因为电容初始反射系数为-1,所以反射回源头端的电压是Vi+(-Vi),

其中Vi是射入传输线的初始电压。然后电容充电到稳态值2V。

图2.22 终接电容性负载的传输线。

如果传输线终接并联的电阻和电容,如图2.23所示,则电容电压决定于:

(2.14)

而时间常数决定于C L以及R L和Zo的并联:

(2.15)

图2.23 终接并联电容性和电阻性负载的传输线。

电感性负载的反射

当一个串联电感出现在传输线的电气路径时,如图 2.24所示,它也成了一个时间相关的负载。开始t=0,电感就像开路。如果开始使用的是阶梯电压,则几乎没有电流流过电感。这使得反射系数为1。如果电感足够大,信号的幅值将加倍。最后,电感将以决定于LR电路时间常数τ(其值为L/Zo)的速度释放它的能量。图2.25所示为四种不同值的串联电感(如图2.24示)的反射。注意,反射的幅值和衰减时间随电感值增加而增加。

图2.24 串联电感

图2.25 对于不同电感值在节点A(图2.24)看到的反射

数字系统设计试卷2012A卷

中国矿业大学2012~2013学年第一学期 《数字系统设计基础》试卷(A)卷 考试时间:100 分钟考试方式:闭卷 学院_________班级_____________姓名___________学号____________ 一、选择题(20分,每题2分) 1.不完整的IF语句,其综合结果可实现:_________ A. 三态控制电路 B. 条件相或的逻辑电路 C. 双向控制电路 D. 时序逻辑电路 2.关于进程语句说法错误的是_________ A. PROCESS为一无限循环语句(执行状态、等待状态) B. PROCESS中的顺序语句具有明显的顺序/并行运行双重性 C. 进程必须由敏感信号的变化来启动 D. 变量是多个进程间的通信线 3、对于VHDL以下几种说法错误的是___________ A. VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义 元件的引脚 B. 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成 C. VHDL程序中是区分大小写的 D.结构体描述元件内部结构和逻辑功能 4.一个设计实体(电路模块)包括实体与结构体两部分,实体描述___________。 A. 实体与结构体之间的连接关系; B. 器件的内部功能; C. 实体使用的库文件; D. 器件外部可见特性如端口的数目、方向等 5. 组合逻辑电路中的毛刺信号是由于______引起的。 A. 电路中存在延迟 B.电路不是最简 C. 电路有多个输出 D.电路中使用不同的门电路 6. 下列关于临界路径说法正确的是___________ A. 临界路径与系统的工作速度无关 B. 临界路径减小有助于缩小电路规模 C. 临界路径减小有助于降低功耗 D. 临界路径是从系统输入到输出的各条路径中信号通过时间最长的那条路径 7. 关于FPGA和CPLD的区别说法正确的是___________ A. CPLD 更适合完成各种算法和组合逻辑,FPGA 更适合于完成时序逻辑

数字系统设计技术实验指导书

Experiment 2 Designing Number Comparer 实验目的: 熟悉QuartusII 的开发环境 熟练掌握编程开发流程 学习VHDL 的基本语法 学习VHDL 编程设计 实验内容:数值比较器设计 实验要求:熟练掌握QuartusII 开发环境下对可编程逻辑器件进行程序化设计的整套流程 设计输入使用插入模板 (Insert Template ) 在QuartusII 开发环境下对设计程序进行时序仿真 将生成的配置文件下载到实验板,进行最终的实物测试验证 实验原理:根据两位二进制数的大小得到对应的比较结果,其电路示意图及电路特性表为: 比较器特性表 比较器电路示意图 实验报告内容要求: (1) 实验目的; (2) 实验内容; (3) 实验要求; (4) 实验原理; (5) 程序编写; (6) 程序编译(首先选择器件具体型号); (7) 功能仿真和芯片时序仿真; (8) 芯片引脚设定; (9) 适配下载结果及结论。 Number Comparer A(3..0) B(3..0) In_s In_l In_e Yl Ye Ys Y

Experiment 3 Designing 8 to1-Multiplxer 实验目的:熟悉QuartusII的开发环境 熟练掌握编程开发流程 学习VHDL的基本语法 学习VHDL编程设计 实验内容:八选一数据选择器设计。 实验要求:熟练掌握QuartusII开发环境下对可编程逻辑器件进行程序化设计的整套流程设计输入使用插入模板(Insert Template) 在QuartusII开发环境下对设计程序进行时序仿真 将生成的配置文件下载到实验板,进行最终的实物测试验证 实验原理: 电路功能表及其电路外部符号如下: 电路功能表 实验报告内容要求: (1)实验目的; (2)实验内容; (3)实验要求; (4)实验原理; (5)程序编写; (6)程序编译(首先选择器件具体型号); (7)功能仿真和芯片时序仿真; (8)芯片引脚设定; (9)适配下载结果及结论。

数字钟设计报告——数字电路实验报告

. 数字钟设计实验报告 专业:通信工程 :王婧 班级:111041B 学号:111041226 .

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生器、“时、 3

《管理信息系统》课程设计实验报告

《管理信息系统》课程设计实验报告 课程名称:管理信息系统 指导老师: ******* 院系:商学院 专业班级: ******** 姓名: ******** 学号: ******** 实验日期: 2011.7.11 实验地点:一机房

《管理信息系统》课程设计任务书 一.课程设计目的及意义: 《管理信息系统》课程设计是在完成《管理信息系统》课程学习之后的一次实践性教 学,是本课程理论知识的一次综合运用。通过本课程设计,能够进一步加深对信息、信息系 统、管理信息系统等基础理论知识的理解,能初步掌握结构化的生命周期法、面向对象法等 系统工程方法,进一步加强熟练应用管理信息系统的操作技能,并能够借助于管理信息系统 解决实际问题。 二.课程设计要求: 1.本课程设计时间为一周。 2.本课程设计以教学班为单位进行上机操作及实验。 3.按照任务要求完成课程设计内容。 三.课程设计任务要求: 1.任务内容:进入山东轻工业学院主页,在“网络资源”区域进入“网络教学平台”,输入各自的用户名和密码(学生学号及密码),进入本网络教学平台系统,在充分熟悉本系统 的前提下,完成下列任务要求。 2.任务要求: ①按照课程讲解的系统分析步骤和理论对本系统进行系统分析。 ②绘制不少于 3 个的主要业务流程图。 ③描述上述主要业务流程图的逻辑处理功能。 ④分析本系统的优缺点,提出改进意见,并描述改进的逻辑处理功能,绘制业务流 程图。 四.课程设计评分标准: 按照《管理信息系统课程设计大纲》的要求,本课程 1 学分,采用百分制计分,其中 任务要求②占30 分,任务要求③占30 分,任务要求④占30 分,考勤及实践表现占10 分。五.本课程设计自2011 年 6 月 27 日至 2011 年 7 月 1 日。

verilog数字系统设计教程习题答案

verilog 数字系统设计教程习题答案第二章 HDL 既是一种行为描述语言,也是一种结构描述语言。如果按照一定的规则和风格编写代码,就可以将功能行为模块通过工具自动转化为门级互联的结构模块。这意味着利用Verilog 语言所提供的功能,就可以构造一个模块间的清晰结构来描述复杂的大型设计,并对所需的逻辑电路进行严格的设计。 2.模块的基本结构由关键词module和endmodule构成。 3.一个复杂电路系统的完整Verilog HDL 模型是由若干个Verilog HDL模块构成的,每一个模块又可以由若干个子模块构成。其中有些模块需要综合成具体电路,而有些模块只是与用户所设计的模块交互的现存电路或激励信号源。利用Verilog HDL语言结构所提供的这种功能就可以构造一个模块间的清晰层次结构来描述极其复杂的大型设计,并对所作设计的逻辑电路进行严格的验证。 HDL和VHDL乍为描述硬件电路设计的语言,其共同的特点在于:能形式化地抽象表示电路的结构和行为、支持逻辑设计中层次与领域的描述、可借用高级语言的精巧结构来简化电路的描述、具有电路仿真与验证机制以保证设计的正确性、支持电路描述由高层到低层的综合转换、硬件描述与实现工艺无关(有关工艺参数可通过语言提供的属性包括进去)、便于文档管理、易于理解和设计重用。 5.不是

6.将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 7.综合工具可以把HDL变成门级网表。这方面Synopsys工具占有较大的优势,它的Design Compile 是作为一个综合的工业标准,它还有另外一个产品叫Behavior Compiler ,可以提供更高级的综合。 另外最近美国又出了一个软件叫Ambit ,据说比Synopsys 的软件更有效,可以综合50万门的电路,速度更快。今年初Ambit 被Cadence 公司收购,为此Cade nee放弃了它原来的综合软件Syn ergy。随着FPGA 设计的规模越来越大,各EDA公司又开发了用于FPGA设计的综合软件,比较有名的有:Sy nopsys 的FPGAExpress,Cade nee 的Syn plity ,Mentor的Leonardo,这三家的FPGA综合软件占了市场的绝大部分。 8.整个综合过程就是将设计者在EDA平台上编辑输入的HDL文本、原理图或状态图形描述,依据给定的硬件结构组件和约束控制条件 进行编译、优化、转换和综合,最终获得门级电路甚至更底层的电路描述网表文件。用于适配,适配将由综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件,如JEDEC Jam格式的 文件 9.在FPGA设计中,仿真一般分为功能仿真(前仿真)和时序仿真(后仿真)。功能仿真又叫逻辑仿真,是指在不考虑器件延时和布线延时的理想情况下对源代码进行逻辑功能的验证;而时序仿真是在布局布线后进行,它与

数字系统课程设计报告

基于VHDL的交通灯控制器设计 作者:叶展(04008136) 杨运峰(04008137) 史泰龙(04008115)

目录 1.题目要求 (2) 2.方案设计 (2) (1)总体方案设计 (2) (2)单元模块设计 (5) (3)各单元模块的连接 (6) 3.仿真调试 (7) 4.设计总结 (9) (1)设计的小结和体会 (9) (2)对设计的进一步完善提出意见或建议 (9) 5.成员分工 (9) 6.参考文献 (10) 7.附录 (11)

一、题目要求 在两个相邻十字路口处各有四处交 通灯,标为A和B,每一处的要求如下: 每一处都有3个灯指示左转,直行和右转 车辆,并且灯也分红色和黄色绿色,并配 有时间显示,调研实际的运行情况并设计 出对应的电路。并且要完成以下附加功 能:第一,可以将系统根据时间来调整, 在白天某一路段比较繁忙对应的通行时 间较长,晚上因为另一路段繁忙则做适当 的调整;第二,如系统出现故障不能正常 显示,则黄灯全部闪烁以提醒车辆注意。 要求系统有一个系统时钟,按照24小时 计时,A处和B处早上7点到晚上8点, 南北方向绿灯通行时间为50秒,黄灯5 秒,左拐灯15秒,黄灯5秒,红灯40 秒。其余时间分别为60秒,5秒,20秒, 5秒,30秒。A处和B处的交通灯是联动 的,即A处驶往B处的车辆,在A处南北方向交通灯绿灯后20秒钟后B处的南北方向交通灯绿灯通行。 二、方案设计 (1)总体方案设计 我们小组成员展开讨论,结合本题目 的要求,并且参考了实际路灯的运行情况 和查阅了相关资料后,提出了一种切实可 行的路灯控制方案——即路灯八状态轮 换循环控制方案。 从单一方向上看,单个路口红绿灯转换顺序为:绿灯(50s)—黄灯(5s)—左拐灯(15s)—黄灯(5s)—红灯(40s)。(当此方向上路灯为红灯时,即40s的时间内,另一方向上的路灯要完成,绿灯(15s)—黄灯(5s)—左拐灯(15s)—黄灯(5s),的转换。) 当路况处于闲暇时间段的时候,路灯工作于另外一种时间机制。即,绿灯(60s)—黄灯(5s)—左拐灯(20s)—黄灯(5s)—红灯(30s)。(当此方向上路灯为红灯时,即30s的时间内,另一方向上的路灯要完成,绿灯(5s)—黄灯(5s)—

系统设计实验报告

系统设计实验报告——远程在线考试系统

目录软件需求说明书························1 引言··························· 1.1编写目的······················· 1.2背景························· 1.3定义························· 1.4参考资料······················· 2 程序系统的结构························ 3 程序设计说明·························

1引言 1.1编写目的 本文档的编写目的是为远程在线考试系统项目的设计提供: a.系统的结构、设计说明; b.程序设计说明; c. 程序(标识符)设计说明 1.2背景 随着网络技术的飞速发展,现在很多的大学及社会上其它的培训部门都已经开设了远程教育,并通过计算机网络实现异地教育。但是,远程教育软件的开发,就目前来说,还是处于起步的阶段。因此,构建一个远程在线考试系统,还是有很大的实际意义的。 根据用户提出的需求,本项目组承接该系统的开发工作 a.开发软件系统的名称:远程在线考试系统 b.本项目的任务提出者:福州大学软件学院 c.用户:各类大专院校学校、中小学校。 1.3定义 远程在线考试系统 远程在线考试系统是基于用Browser/Web模式下的,可以实现考试题库管理、多用户在线考试、自动阅卷功能的系统。

1.4参考资料 ?GB 8566 计算机软件开发规范 ?GB 8567 计算机软件产品开发文件编制指南?软件设计标准 ?《ASP与SQL-Server2000》清华大学出版社?《可行性研究报告》 ?《项目计划文档》 ? 2程序系统的结构 3程序1(标识符)设计说明

《verilog_数字系统设计课程》(第二版)思考题答案

Verilog数字系统设计教程思考题答案 绪论 1.什么是信号处理电路?它通常由哪两大部分组成? 信号处理电路是进行一些复杂的数字运算和数据处理,并且又有实时响应要求的电路。它通常有高速数据通道接口和高速算法电路两大部分组成。 2.为什么要设计专用的信号处理电路? 因为有的数字信号处理对时间的要求非常苛刻,以至于用高速的通用处理器也无法在规定的时间内完成必要的运算。通用微处理器芯片是为一般目的而设计的,运算的步骤必须通过程序编译后生成的机器码指令加载到存储器中,然后在微处理器芯片控制下,按时钟的节拍,逐条取出指令分析指令和执行指令,直到程序的结束。微处理器芯片中的内部总线和运算部件也是为通用目的而设计,即使是专为信号处理而设计的通用微处理器,因为它的通用性也不可能为某一特殊的算法来设计一系列的专用的运算电路而且其内部总线的宽度也不能随便的改变,只有通过改变程序,才能实现这个特殊的算法,因而其算法速度也受到限制所以要设计专用的信号处理电路。 3.什么是实时处理系统? 实时处理系统是具有实时响应的处理系统。 4.为什么要用硬件描述语言来设计复杂的算法逻辑电路? 因为现代复杂数字逻辑系统的设计都是借助于EDA工具完成的,无论电路系统的仿真和综合都需要掌握硬件描述语言。 5.能不能完全用C语言来代替硬件描述语言进行算法逻辑电路的设计? 不能,因为基础算法的描述和验证通常用C语言来做。如果要设计一个专用的电路来进行这种对速度有要求的实时数据处理,除了以上C语言外,还须编写硬件描述语言程序进行仿真以便从电路结构上保证算法能在规定的时间内完成,并能通过与前端和后端的设备接口正确无误地交换数据。 6.为什么在算法逻辑电路的设计中需要用C语言和硬件描述语言配合使用来提 高设计效率? 首先C语言很灵活,查错功能强,还可以通过PLI编写自己的系统任务,并直接与硬件仿真器结合使用。C语言是目前世界上应用最为广泛的一种编程语言,因而C程序的设计环境比Verilog HDL更完整,此外,C语言有可靠地编译环境,语法完备,缺陷缺少,应用于许多的领域。比较起来,Verilog语言只是针对硬件描述的,在别处使用并不方便。而用Verilog的仿真,综合,查错等大部分软件都是商业软件,与C语言相比缺乏长期大量的使用,可靠性较差,亦有很多缺陷。所以只有在C语言的配合使用下,Verilog才能更好地发挥作用。C 语言与Verilog HDL语言相辅相成,互相配合使用。这就是即利用C语言的完整性又要结合Verilog对硬件描述的精确性,来更快更好地设计出符合性能要求的

数字系统设计软件实验报告

实验一QuartusⅡ9.1软件的使用 一、实验目的: 1、通过实现书上的例子,掌握QUARTUSII9.1软件的使用; 2、编程实现3-8译码电路以掌握VHDL组合逻辑的设计以及QUARTUSII9.1软件的使用。 二、实验流程: 1、仔细阅读书上的操作指南,学会在QuartusⅡ9.1中创建新的工程,创建过程如下所示: 1)、建立新设计项目: ①启动QuartusⅡ9.1软件,在软件的管理器窗口选File下拉菜单,即File→New Project Wizard,则出现新建工程向导窗口。如下所示: ②点击Next按钮,将弹出新建工程设置窗口,如下图所示。在新建工程设置窗口中设置好工程的存放路径、工程名称等。

③点击Next进入添加文件窗口,如下图。由于尚未创建文件,跳过该步骤。 ④点击Next按钮,进入选择目标芯片窗口。在这里我们选择Cyclone系列的EP1C6Q240C8,如下图:

⑤点击Next按钮,进入EDA工具设置窗口,通常选择默认的“None”,表示选择QuartusⅡ自带的仿真器和综合器。如下图: ⑥点击Next按钮,弹出New Project Wizard概要对话框,在这个窗口中列出了所有前面设置的结果。若有错误则点击Back回去修改,否则点击Finish结束,即完成新工程的设定工作。如下图:

2)、文本设计输入: ①在QuartusⅡ主界面菜单栏中选择File下拉菜单中的New,弹出新建设计文件窗口,选择VHDL File项,点击OK按钮即可打开VHDL文本编辑窗口,其默认文件名为“Vhdl.vhd”。 ②出现文本编辑窗口后,我们可以直接在空白界面中键入所设计的VHDL文本。这时我们将书本中的程序输入到文本编辑环境中去。程序如下: library IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity count10 is port(clk,load,en:in std_logic; data_in:in std_logic_vector(3 downto 0); seg:out std_logic_vector(6 downto 0)); end count10; architecture beha of count10 is signal qout:std_logic_vector(3 downto 0); signal q_temp:std_logic_vector(3 downto 0); begin process(clk,load) begin

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

操作系统课程设计实验报告

河北大学工商学院 课程设计 题目:操作系统课程设计 学部信息学部 学科门类电气信息 专业计算机 学号2011482370 姓名耿雪涛 指导教师朱亮 2013 年6月19日

主要内容 一、设计目的 通过模拟操作系统的实现,加深对操作系统工作原理理解,进一步了解操作系统的实现方法,并可练习合作完成系统的团队精神和提高程序设计能力。 二、设计思想 实现一个模拟操作系统,使用VB、VC、CB等windows环境下的程序设计语言,以借助这些语言环境来模拟硬件的一些并行工作。模拟采用多道程序设计方法的单用户操作系统,该操作系统包括进程管理、存储管理、设备管理、文件管理和用户接口四部分。 设计模板如下图: 注:本人主要涉及设备管理模块

三、设计要求 设备管理主要包括设备的分配和回收。 ⑴模拟系统中有A、B、C三种独占型设备,A设备1个,B设备2个,C设备2个。 ⑵采用死锁的预防方法来处理申请独占设备可能造成的死锁。 ⑶屏幕显示 注:屏幕显示要求包括:每个设备是否被使用,哪个进程在使用该设备,哪些进程在等待使用该设备。 设备管理模块详细设计 一、设备管理的任务 I/O设备是按照用户的请求,控制设备的各种操作,用于完成I/O 设备与内存之间的数据交换(包括设备的分配与回收,设备的驱动管理等),最终完成用户的I/O请求,并且I/O设备为用户提供了使用外部设备的接口,可以满足用户的需求。 二、设备管理函数的详细描述 1、检查设备是否可用(主要代码) public bool JudgeDevice(DeviceType type) { bool str = false; switch (type) { case DeviceType.a: {

数字电路实验计数器的设计

数字电路与逻辑设计实验报告实验七计数器的设计 :黄文轩 学号:17310031 班级:光电一班

一、实验目的 熟悉J-K触发器的逻辑功能,掌握J-K触发器构成异步计数器和同步计数器。 二、实验器件 1.数字电路实验箱、数字万用表、示波器。 2.虚拟器件: 74LS73,74LS00, 74LS08, 74LS20 三、实验预习 1. 复习时序逻辑电路设计方法 ①根据设计要求获得真值表 ②画出卡诺图或使用其他方式确定状态转换的规律 ③求出各触发器的驱动方程 ④根据已有方程画出电路图。 2. 按实验内容设计逻辑电路画出逻辑图 Ⅰ、16进制异步计数器的设计 异步计数器的设计思路是将上一级触发器的Q输出作为下一级触发器的时钟信号,置所有触发器的J-K为1,这样每次到达时钟下降沿都发生一次计数,每次前一级 触发器从1变化到0都使得后一级触发器反转,即引发进位操作。 画出由J-K触发器组成的异步计数器电路如下图所示:

使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位 触发器的输出,以及时钟信号。: 可以看出电路正常执行16进制计数器的功能。 Ⅱ、16进制同步计数器的设计 较异步计数器而言,同步计数器要求电路的每一位信号的变化都发生在相同的时间点。

因此同步计数器各触发器的时钟脉冲必须是同一个时钟信号,这样进位信息就要放置在J-K 输入端,我们可以把J-K端口接在一起,当时钟下降沿到来时,如果满足进位条件(前几位触发器输出都为1)则使JK为1,发生反转实现进位。 画出由J-K触发器和门电路组成的同步计数器电路如下图所示 使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位触发器的输出,计数器进位输出,以及时钟信号。:

数字电路及设计实验

常用数字仪表的使用 实验内容: 1.参考“仪器操作指南”之“DS1000操作演示”,熟悉示数字波器的使用。 2.测试示波器校正信号如下参数:(请注意该信号测试时将耦合方式设置为直流耦合。 峰峰值(Vpp),最大值(Vmax),最小值(Vmin), 幅值(Vamp),周期(Prd),频率(Freq) 顶端值(Vtop),底端值(Vbase),过冲(Overshoot), 预冲(Preshoot),平均值(Average),均方根值(Vrms),即有效值 上升时间(RiseTime),下降时间(FallTime),正脉宽(+Width), 负脉宽(-Width),正占空比(+Duty),负占空比(-Duty)等参数。 3.TTL输出高电平>2.4V,输出低电平<0.4V。在室温下,一般输出高电平是3.5V,输出低 电平是0.2V。最小输入高电平和低电平:输入高电平>=2.0V,输入低电平<=0.8V。 请采用函数信号发生器输出一个TTL信号,要求满足如下条件: ①输出高电平为3.5V,低电平为0V的一个方波信号; ②信号频率1000Hz; 在示波器上观测该信号并记录波形数据。

集成逻辑门测试(含4个实验项目) (本实验内容选作) 一、实验目的 (1)深刻理解集成逻辑门主要参数的含义和功能。 (2)熟悉TTL 与非门和CMOS 或非门主要参数的测试方法,并通过功能测试判断器件好坏。 二、实验设备与器件 本实验设备与器件分别是: 实验设备:自制数字实验平台、双踪示波器、直流稳压电源、数字频率计、数字万用表及工具; 实验器件:74LS20两片,CC4001一片,500Ω左右电阻和10k Ω左右电阻各一只。 三、实验项目 1.TTL 与非门逻辑功能测试 按表1-1的要求测74LS20逻辑功能,将测试结果填入与非门功能测试表中(测试F=1、0时,V OH 与V OL 的值)。 2.TTL 与非门直流参数的测试 测试时取电源电压V CC =5V ;注意电流表档次,所选量程应大于器件电参数规范值。 (1)导通电源电流I CCL 。测试条件:输入端均悬空,输出端空载。测试电路按图1-1(a )连接。 (2)低电平输入电流I iL 。测试条件:被测输入端通过电流表接地,其余输入端悬空,输出空载。测试电路按图1-1(b )连接。 (3)高电平输入电流I iH 。测试条件:被测输入端通过电流表接电源(电压V CC ),其余输入端均接地,输出空载。测试电路按图1-1(c )连接。 (4)电压传输特性。测试电路按图1-2连接。按表1-2所列各输入电压值逐点进行测量,各输入电压值通过调节电位器W 取得。将测试结果在表1-2中记录,并根据实测数据,做出电压传输特性曲线。然后,从曲线上读出V OH ,V OL ,V on ,V off 和V T ,并计算V NH ,V NL 等参数。 表1-1 与非门功能测试表

数字电路组合逻辑电路设计实验报告

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测出门电路的输出响应。动

态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。 测试电路如图3-2所示。试验中A、B输入高、低电平,由数字电路实验箱中逻辑电平产生电路产生,输入F可直接插至逻辑电平只是电路的某一路进行显示。

仿真示意 2.门电路的动态逻辑功能测试 动态测试用于数字系统运行中逻辑功能的检查,测试时,电路输入串行数字信号,用示波器比较输入与输出信号波形,以此来确定电路的功能。实验时,与非门输入端A加一频率为

数字电路课程设计--数字电子钟逻辑电路设计

数字电路课程设计报告设计课题:数字电子钟逻辑电路设计 班级:13级电子科学与技术 姓名: 学号: 指导老师: 设计时间:2016年1月18日~20日 学院:物理与信息工程学院

内容摘要 数字电子钟是一种用数字显示秒、分、时、日的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无机械传动装置等优点,因而得到了广泛的应用:小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟。数字电子时钟是一个对标准频率(1Hz)进行计数的计数电路。通常使用石英晶体振荡器电路构成数字钟,以保证其频率的稳定。以16进制芯片74HC161设计成6或10进制来实现时间计数单元的计数功能。采用CD4511作为显示译码电路。选择LED数码管作为显示单元电路。由CD4511把输进来的二进制信号翻译成十进制数字,再由数码管显示出来。

目录 一、内容提要 二、设计任务和要求 三、总体方案选择的论证 四、单元电路的设计、元器件选择和参数计算 五、电路图 六、组装与调试 七、所用元器件 八、设计总结 九、附录 十、参考文献

数字电子钟逻辑电路设计 一、内容提要 本次课程设计的目的是通过设计与实验,了解CD4060、CD4511,74HC74、74HCl61、74HC00、74HC04等芯片的功能和管脚排列,进一步理解设计方案与设计理念,扩展设计思路与视野。 二、设计任务和要求 用中小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1Hz 标准秒信号。 2.秒、分为00—59六十进制计数器。 3.时为00—23二十四进制计数器。 4.周显示从1—日为七进制计数器。 5.可手动校正:能分别进行秒、分、时、日的校正。只要将开关置于手动位 置,可分别对秒,分、时、日进行手动脉冲输入调整或连续脉冲输入的校正。

软件设计与体系结构实验报告

福建农林大学计算机与信息学院 实验报告 课程名称:软件设计与体系结构 姓名:陈宇翔 系:软件工程系 专业:软件工程 年级:2007 学号:070481024 指导教师:王李进 职称:讲师 2009年12月16日

实验项目列表

福建农林大学计算机与信息学院实验报告 学院:计算机与信息学院专业:软件工程系年级:2007 姓名:陈宇翔 学号:070481024 课程名称:软件设计与体系结构实验时间:2009-10-28 实验室田实验室312、313计算机号024 指导教师签字:成绩: 实验1:ACME软件体系结构描述语言应用 一、实验目的 1)掌握软件体系结构描述的概念 2)掌握应用ACMESTUDIO工具描述软件体系结构的基本操作 二、实验学时 2学时。 三、实验方法 由老师提供软件体系结构图形样板供学生参考,学生在样板的指导下修改图形,在老师的指导下进行软件体系结构描述。 四、实验环境 计算机及ACMESTUDIO。 五、实验内容 利用ACME语言定义软件体系结构风格,修改ACME代码,并进行风格测试。 六、实验操作步骤 一、导入Zip文档 建立的一个Acme Project,并且命名为AcmeLab2。如下图:

接着导入ZIP文档,导入完ZIP文档后显示的如下图: 二、修改风格 在AcmeLab2项目中,打开families下的TieredFam.acme.如下图: 修改组件外观 1. 在组件类型中,双击DataNodeT; 在其右边的编辑器中,将产生预览;选择Modify 按钮,将打开外观编辑器对话框。 2. 首先改变图形:找到Basic shape section,在Stock image dropdown menu中选 择Repository类型. 3. 在Color/Line Properties section修改填充颜色为深蓝色。 4. 在颜色对话框中选择深蓝色,并单击 [OK]. 5. 修改图形的边框颜色为绿色 7. 单击Label tab,在Font Settings section, 设置字体颜色为白色,单击[OK] 产生的图形如下图:

数字系统设计实验

多周期MIPS微处理器设计 一、实验目的 (1)、熟悉MIPS指令系统。 (2)、掌握MIPS多周期微处理器的工作原理和实现方法。 (3)、掌握控制器的微程序设计方法。 (4)、掌握MIPS多周期微处理器的测试方法。 (5)、了解用软件实现数字系统设计的方法。 二、实验任务 设计一个32位MIPS多周期微处理器,具体的要求如下: 1、至少运行下列的6类32条MIPS指令。 (1)、算术逻辑指令:ADD、ADDU、SUB、SUBU、ADDI、ADDIU。 (2)、逻辑运算指令:AND、OR、NOR、XOR、ANDI、ORI、XORI、SLT、SLTU、SLTI、SLTIU。 (3)、位移指令:SLL、SLLV、SRL、SRLV、SRA。 (4)、条件分支指令:BEQ、BNE、BGEZ、BGTZ、BLEZ、BLTZ。 (5)、无条件跳转指令:J、JR。 (6)、数据传送指令:LW、SW。 2、在XUP Virtex-2 Pro开发系统中实现该32位MIPS多周期微处理器,要求运行速度(CPU 工作时钟)大于25MHz。 三、实验设备 1、装有ISE、Modelsim SE和Chipscope Pro软件的计算机。 2、XUP Virtex-2 pro开发系统一套。 3、SVGA显示器一台。 四、MIPS指令简介 MIPS指令集具有以下特点: 1、简单的LOAD/STORE结构:所有的计算机类型的指令均从寄存器堆中读取数据并

把结果写入寄存器堆中,只有LOAD和STORE指令访问存储器。 2、易于流水线CPU的设计:MIPS指令集的指令格式非常规整,所有的指令均为32位,而且指令操作码在固定的位置上。 3、易于编译器的开发:一般来讲,编译器在编译高级语言程序时,很难用到复杂的指令,MIPS指令的寻址方式非常的简单,每条指令的操作也非常简单。 MIPS系统的寄存器结构采用标准的32位寄存器堆,共32个寄存器,标号为0-31。其中第0号寄存器永远为常数0。 CPU所支持的MIPS指令格式一共有3种,分别为R、I、J。R类型的指令从寄存器堆中读出两个源操作数,计算结果写回到寄存器堆;I类型的指令使用一共16为立即数作为源操作数;J类型的指令使用一共26位立即数作为跳转的目标地址(target address)。 MIPS的指令格式如图1所示,指令格式中OP(operation)是指令操作码;RS(register sourse)是源操作数的寄存器号;RD(register destination)是目标寄存器号;RT(register target)可以既是源寄存器号,又可以使目标寄存器号,由具体位置决定;FUNCT(function)可以被认为是扩展的操作码;SA(shift amount)由移位指令使用,定义移位位数。 I型中的Immediate是16为立即数。立即数型算术逻辑运算指令、数据传输指令和条件分支指令均采用这种形式。在立即数型算术逻辑运算指令、数据传送指令中,Immediate进行符号扩展至32位;而在条件分支指令中,Immediate先进行符号扩展至32位再左移2位。 在J形指令中26为target由JUMP指令使用,用于产生跳转的目标地址。 下面通过表格简单介绍本实验使用的MIPS核心指令。表1列出了本实验使用到的MIPS指令的格式和OP、FUNCT等简要信息。

华南理工大学数字系统设计实验3报告资料

实验三基于状态机的交通灯控制 地点:31号楼312房;实验台号:12 实验日期与时间:2017年12月08日评分: 预习检查纪录:批改教师: 报告内容: 一、实验要求 1、开发板上三个led等分别代表公路上红黄绿三种颜色交通灯。 2、交通灯状态机初始状态为红灯,交通灯工作过程依次是红→绿→黄→红。 3、为了方便观察,本次实验要求红灯的显示时间为9s,绿灯显示时间为6s,黄灯显示时间为3s,时间需要倒计时,在数码管上显示。编程之前要求同学们先画好ASM图。 4、1Hz分频模块请采用第二次实验中的内容,7段码显示模块请参考书本相关内容。 5、第三次实验课用到EP2C8Q208C8通过74HC595驱动数码管,有两种方法写该模块代码:方法1,用VHDL语言写,(自己写VHDL代码有加实验分)。方法2,可调用verilog数码管驱动模块,该模块在附件“seg.zip”中。和其它VHDL编写的模块可以混搭在一个电路图中使用。EP2C8Q208C8的SCTP,SHCP,SER_DATA数码管信号线通过两块74HC595集成块,再驱动数码管。 6、芯片型号:cyclone:EP2C8Q208C8,开发板所有资料都在“新板”附件中,其中管脚配置在实验要求中是不对的,以“新板”附件中为准。 二、实验内容 1设计要求 开发板上三个led等分别代表公路上红黄绿三种颜色交通灯。交通灯状态机初始状态为红灯,交通灯工作过程依次是红→绿→黄→红。本次实验要求红灯的显示时间为9s,绿灯显示时间为6s,黄灯显示时间为3s,时间需要倒计时,在数码管上显示。

2设计思路 (1)数码管驱动 第三次实验课用到EP2C8Q208C8通过74HC595驱动数码管,有两种方法写该模块代码: 方法1,用VHDL语言写,(自己写VHDL代码有加实验分)。 方法2,可调用verilog数码管驱动模块,该模块在附件“seg.zip”中。和其它VHDL 编写的模块可以混搭在一个电路图中使用。EP2C8Q208C8的SCTP,SHCP,SER_DATA 数码管信号线通过两块74HC595集成块,再驱动数码管。 (2)交通灯流程设计 我根据实验要求,将实验设计分为6部分:分频器模块、复位部分、红灯部分、黄灯(红转黄时)部分、绿灯部分、扩位模块。其中复位部分包括1个状态:reset0,红灯部分包括9个状态:r1到r9,绿灯部分包括6个状态:r1到r6,黄灯部分包括3个状态:y1到y3。其中,对脉冲信号进行2的n次方分之一的分频,实现分频器分频。扩位模块采用补0操作实现将4位二进制数转为16位二进制数。

操作系统课程设计实验报告proj2

操作系统课程设计报告 班级: 团队成员:

目录 ................................................................................................................ 错误!未定义书签。 一、实验要求:建立线程系统................................................................... 错误!未定义书签。 1.1Task 2.1实现文件系统调用 (3) 1.1.1题目要求 (3) 1.1.2题目分析与实现方案 (3) 1.1.3关键点与难点 (4) 1.1.4实现代码 (4) 1.2 Task 2.2 完成对多道程序的支持 (5) 1.2.1题目要求 (5) 1.2.2题目分析与实现方案 (5) 1.2.3关键点与难点 (6) 1.2.4实现代码 (7) 1.3 Task 2.3 实现系统调用 (7) 1.3.1题目要求 (7) 1.3.2题目分析与实现方案 (8) 1.3.3关键点与难点 (9) 1.3.4实现代码 (9) 1.4 Task 2.4 实现彩票调度 (10) 1.4.1题目要求 (10) 1.4.2题目分析与实现方案 (10) 1.4.3关键点与难点 (11) 1.4.4实现代码 (11) 二、测试结果............................................................................................ 2错误!未定义书签。

相关文档
相关文档 最新文档