文档库 最新最全的文档下载
当前位置:文档库 › 电子技术基础在线实验作业答案

电子技术基础在线实验作业答案

电子技术基础在线实验作业答案
电子技术基础在线实验作业答案

1.下列等式中正确的是:

A A(A+B+C)’=B’C’ 

B AB+AB’=A+B

C A+AB+B=A+B

正确答案:C

单选题

2.典型的双极型三极管放大电路中,下列哪种电路输出电阻最小:

A 共基放大电路

B 共集放大电路

C 共射放大电路

正确答案:B

单选题

3.测量放大电路的静态工作点时应该选择下列哪种仪器:

A 信号发生器

B 万用表

C 交流毫伏表

正确答案:B

4.对于JK触发器,输入J=0,K=1,CLK脉冲作用后,触发器的次态应该为:

A 1

B 0

C Q’ 

正确答案:B

单选题

5.用万用表测量交流电流时,应将功能/量程开关置于量程范围,并将表笔接到被测电路中。

A A~,串

B A~,并

C V~,串

正确答案:A

单选题

6.下列说法正确的是:

A 卡诺图的每一个小方块都代表着一个最小项

B 卡诺图中最小项的排列方式是随机排列的

C 卡诺图中最小项的排列方式是按最小项从小到大数字编号顺序排列的

单选题

7.硅材料二极管的导通电压约为:

A 0.3V

B 0.5V

C 0.7

正确答案:C

单选题

8.下列属于系统误差的是:

A 近似测量方法误差

B 计数习惯误差

C 仪表零点漂移误差

正确答案:C

单选题

9.典型的双极型三极管放大电路中,下列哪种电路既能放大电流,又能放大电压:

A 共基放大电路

B 共集放大电路

C 共射放大电路

单选题

10.某电流值为0.05846A,其有效数字的个数为:

A 4

B 5

C 6

正确答案:A

单选题

11.下列哪种仪器常用来判断二极管的极性:

A 示波器

B 万用表

C 信号发生器

正确答案:B

单选题

12.为了稳定静态工作点,应在放大电路中引入:

A 直流负反馈

B 电压负反馈

C 交流负反馈

单选题

13.以下代码中为无权码的是:

A 5421码

B 格雷码

C 8421码

正确答案:B

单选题

14.(110.1)2转换成16进制数是:

A 110.1

B 6.8

C 2.1

正确答案:B

判断题

15.数字交流毫伏表可以用来测量器件的电压有效值。

正确错误

正确答案: 对

判断题

16.若两个逻辑函数具有不同的逻辑表达式,则两个逻辑函数有可能相等。

正确错误

正确答案: 对

判断题

17.使输入量减小的反馈是负反馈,否则为正反馈。

正确错误

正确答案: 错

判断题

18.电压负反馈稳定输出电压,电流负反馈稳定输出电流。

正确错误

正确答案: 对

判断题

19.数字信号中逻辑0的电位值是由具体电路而定,但应该小于噪声容限的最小值。

正确错误

正确答案: 对

判断题

20.示波器可以用来测量交流信号的频率。

正确错误

正确答案: 对

判断题

21.用万用表判断三极管的管脚,当基极判断出来后,若黑表笔接基极

时测得的两个电阻值较小,红表笔接基极时测得的两个电阻值较大,则此三极管是PNP型三极管。

正确错误

正确答案: 错

判断题

22.一位十六进制数可以用2位二进制数来表示。

正确错误

正确答案: 错

判断题

23.若J=K’,则完成T触发器的逻辑功能。

正确错误

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

模拟电子技术基础实验思考题

低频电子线路实验思考题 实验一常用电子仪器的使用(P6) 1.什么是电压有效值?什么是电压峰值?常用交流电压表的电压测量值和示波器的电压直接测量值有什么不同? 答:电压峰值是该波形中点到最高或最低之间的电压值;电压有效值等于它的瞬时值的平方在一个周期内职分的平均值再取平方根。 常用交流电压表的电压测量值一般都为有效值,而示波器的电压直接测量都为峰值。 2.用示波器测量交流信号的峰值和频率,如何尽可能提高测量精度?答:幅值的测量:Y轴灵敏度微调旋钮置于校准位置,Y轴灵敏度开关置于合适的位置即整个波形在显示屏的Y轴上尽可能大地显示,但不能超出显示屏指示线外。频率测量:扫描微调旋钮置于校准位置,扫描开关处于合适位置即使整个波形在X轴上所占的格数尽可能接近10格(但不能大于10格)。 实验二晶体管主要参数及特性曲线的测试(P11) 1.为什么不能用MF500HA型万用表的R×1Ω和R×10Ω档量程测量工作极限电流小的二极管的正向电阻值? 答:根据MF500HA型万用表的内部工作原理,可知R×1Ω和R×10Ω档量程测量工作极限电流小的二极管的正向电阻值的等效电路分别为图1和图2所示,此时流过二极管的最大电流,,当I D1和I D2大于该二极管的工作极限电流时就会使二极管损坏。

图1 图2 2. 用MF500HA型万用表的不同量程测量同一只二极管的正向电阻值,其结果不同,为什么? 提示:根据二极管的输入特性曲线和指针式万用表Ω档的等效电路,结合测试原理分析回答。 答:R×1Ω:r o=9.4Ω; R×10Ω: r o=100Ω; R×100Ω: r o=1073Ω; R×1kΩ: r o=32kΩ。因为二极管工作特性为正向导通、反向截至,尤其是正向导通的输入特性曲线为一条非线性曲线。用MF500HA型万用表

电子技术基础试题

电子技术基础(三)试题 一、单项选择题(本大题共15小题,每小题1分,共15分) 在每小题列出的四个备选项中只有一个选项是符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。 1.以下关于脉动直流电压的描述中,正确的是() A.电压的大小和方向均不随时间变化 B.电压的大小随时间变化,但方向不随时间变化 C.电压的大小不随时间变化,但方向随时间变化 D.电压的大小和方向均随时间变化 2.以下关于理想电流源特性的描述中,正确的是() A.理想电流源的信号源内阻接近于零 B.理想电流源任何时候都可以串联在一起 C.理想电流源的输出电流与负载无关 D.理想电流源两端的电压与负载无关 3.电路如题3图所示,已知相量电流则向量电流I为() A.10∠90° (A) B.10∠-90° (A) C.2∠45° (A) D.2∠-45° (A) 4.N型半导体中的多数载流子是() A.自由电子B.空穴 C.五价杂质原子D.五价杂质离子 5.已知工作在放大区的某硅晶体三极管的三个电极电位 如题5图所示,则a、b、c三个电极分别为() A.发射极、基极、集电极 B.发射极、集电极、基极 C.基极、发射极、集电极 D.基极、集电极、发射极 6.理想运放的差模输入电阻R id和输出电阻R O分别为() A.R id=0,R O=0 B.R id=0,R O=∞ C.R id=∞,R O=0 D.R id=∞,R O=∞ 7.为避免集成运放因输入电压过高造成输入级损坏,在两输入端间应采取的措施是() A.串联两个同向的二极管B.串联两个反向的二极管 C.并联两个同向的二极管D.并联两个反向的二极管 8.在单相半波整流电路中,如变压器副方电压的有效值为U2,则二极管所承受的最高反向电压为()

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

(完整版)《电工电子技术基础》试题库(附有答案)

一、填空题 1.已知图中 U1=2V, U2=-8V,则U AB=-10。 2.电路的三种工作状态是通路、断路、短路。 3.有三个6Ω的电阻,若把它们串联,等效电阻是 18 Ω;若把它们并联,等效电阻 2Ω;若两个并联后再与第三个串联,等效电阻是 9 Ω。 4.用电流表测量电流时,应把电流表串联在被测电路中;用电压表测量电压时,应把电压表与被测电路并联。 5.电路中任意一个闭合路径称为回路;三条或三条以上支路的交点称为节点。 6.电路如图所示,设U=12V、I=2A、R=6Ω,则U AB= -24 V。 7.直流电路如图所示,R1所消耗的功率为2W,则R2的阻值应为 2 Ω。 8.电路中电位的参考点发生变化后,其他各点的电位均发生变化。 9.在直流电路中,电感可以看作短路,电容可以看作断路。 9.我国工业交流电采用的标准频率是 50 Hz。 10.三相对称负载作三角形联接时,线电流I L与相电流I P间的关系是:I P=3 I L。 11.电阻元件是耗能元件,电容元件是储能元件。

12.已知一正弦电压u=311sin(628t-60o)V ,则其最大值为 311 V ,频率为 100 Hz ,初相位为 -60o 。 13.在纯电阻交流电路中,已知电路端电压u=311sin(314t-60o)V ,电阻R=10Ω,则电流I=22A,电压与电流的相位差φ= 0o ,电阻消耗的功率P= 4840 W 。 14.三角形联结的三相对称负载,若线电压为380 V ,则相电压为 380 V ;若相电流为10 A ,则线电流为 17.32 A 。 15.式Q C =I 2X C 是表示电容元件在正弦电路中的 无功 功率计算公式。 16.正弦交流电压的最大值U m 与其有效值U 之比为 2 。 17.电感元件是一种储能元件,可将输入的电能转化为 磁场 能量储存起来。 18.若三相电动势依次达到最大值的次序为e 1—e 2—e 3,则称此种相序为 正序 。 19.在正弦交流电路中,电源的频率越高,电感元件的感抗越 大 。 20.已知正弦交流电压的有效值为200V ,频率为100Hz ,初相角为30o,则其瞬时值表达式u= 282.8sin (628t+30o) 。 21.正弦量的三要素是 最大值或有效值 、 频率 和 初相位 。 22.对称三相电源是指三个 幅值 相同、 频率 相同和 相位互差120o 的电动势电源。 23.电路有 通路 、 开路 和 短路 三种工作状态。当电路中电流0 R U I S 、端电压U =0时,此种状态称作 短路 ,这种情况下电源产生的功率全部消耗在 内阻 上。

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

电子技术基础期末考试试题及答案

10.电路如下图所示,若初态都为0,则=1的是()

精品文档 注:将选择题和判断题答案填写在上面的表格里,否则该题不得分 三、填空题(本大题共5小题,每小题4分,共20分) 21.JK触发器可避免RS触发器状态出现。与RS触发器比较,JK触发器增加了功能; 22.寄存器存放数码的方式有和两种方式; 23.二极管的伏安特性曲线反映的是二极管的关系曲线; 24.常见的滤波器有、和; 25.现有稳压值为5V的锗稳压管两只,按右图所示方法接入电路,则V0= 。 四、应用题(本大题共3小题,共35分,要求写出演算过程) 26.(10分)某JK触发器的初态Q=1,CP的下降沿触发,试根据下图所示的CP、J、K的波形,画出输出Q和Q的波形。27.(9分)如下图所示电路,测得输出电压只有0.7V,原因可能是: (1)R开路;(2)R L开路;(3)稳压二极管V接反; (4)稳压二极管V短路。应该是那种原因,为什么? 28.(16分)分析下图所示电路的工作原理,要求: (1)列出状态表,状态转换图;(2)说明计数器类型。

精品文档 参考答案及评分标准 一、单项选择题(本大题共15小题,每小题2分,共30分) 二、判断题(本大题共5小题,每小题3分,共15分) 三、填空题(本大题共5小题,每小题4分,共20分) 21.不确定,翻转 22.并行和串行 23.V D -I D 24.电容、电感、复式 25.5.3V 四、应用题(本大题共3小题,共30分,要求写出演算过程) 26. 27.解:稳压二极管V 接反,变成正向偏置,稳压二极管正向导通时,压降是0.7V 28.解:计数前,各触发器置0,使Q 2Q 1Q 0=000 (1)第一个CP 作用后,Q 0=0→1,0Q =1→0=CP 1,对F 1触发无效,所以Q 1保持0态不变。而F 2没有接到任何触发信号,所以Q 2亦保持0态不变。第二个CP 作用后,Q 0=1→0,而0Q =0→1=CP 1,对F 1属有效触发,所以Q 1=0→1。而1Q =1→0=CP 2,对F 2无效,所以F 2仍为原态即0态。依次按规律分析,可得如下计数状态表为 (2)从状态表和电路结构可知,该计数电路为三位异步二进制加法计数电路。

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

电子技术基础实验答案

实验一、常用电子仪器的使用 一、实验目的 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 二、实验原理 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领: 1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围(选定一个频率分挡开关按下)、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 操作要领: 1)为了防止过载损坏仪表,在开机前和测量前(即在输入端开路情况下)应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。 操作要领: 1)时基线位置的调节开机数秒钟后,适当调节垂直(↑↓)和水平(←→)位移旋钮,将时基线移至适当的位置。 2)清晰度的调节适当调节亮度和聚焦旋钮,使时基线越细越好(亮度不能太亮,一般能看清楚即可)。 3)示波器的显示方式示波器主要有单踪和双踪两种显示方式,属单踪显示的有“Y1”、“Y2”、“Y1+Y2”,作单踪显示时,可选择“Y1”或“Y2”其中一个按钮按下。属双踪显示的有“交 替”和“断续”,作双踪显示时,为了在一次扫描过程中同时显示两个波形,采用“交替”显示 方式,当被观察信号频率很低时(几十赫兹以下),可采用“断续”显示方式。 4)波形的稳定为了显示稳定的波形,应注意示波器面板上控制按钮的位置:a)“扫描

电工电子技术基础考试试卷答案

《电工电子技术基础》 一、填空题:(每题3分,共12题,合计 33 分) 1、用国家统一规定的图形符号画成的电路模型图称为,它只反映电路中电气方面相互联系的实际情况,便于对电路进行和。 2、在实际电路中,负载电阻往往不只一个,而且需要按照一定的连接方式把它们连接起来,最基本的连接方式是、、。 3、在直流电路的分析、计算中,基尔霍夫电流第一定律又称定律,它的数学表达式为。假若注入节点A的电流为5A和-6A,则流出节点的电流I 出= A 。 4、电路中常用的四个主要的物理量分别是、、、。 它们的代表符号分别是、、和; 5、在实际应用中,按电路结构的不同分为电路和电路。凡是能运用电阻串联或电阻并联的特点进行简化,然后运用_________求解的电路为_____;否则,就是复杂电路。 6、描述磁场的四个主要物理量是:___、_____、_______和_______;它们的代表符号分别是____、_____、______和____; 7、电磁力F的大小与导体中 ____的大小成正比,与导体在磁场中的有效 ________及导体所在位置的磁感应强度B成正比,即表达式为:________ ,其单位为:______ 。 8、凡大小和方向随时间做周期性变化的电流、电压和电动势交流电压、交流电流和交流电动势,统称交流电。而随时间按正弦规律变化的交流电称为正弦交流电。 9、______________、_______________和__________是表征正弦交流电的三个重要物理量,通常把它们称为正弦交流电的三要素。 10、已知一正弦交流电压为u=2202sin(314t+45°)V,该电压最大值为__________ V,角频率为__________ rad/s,初相位为________、频率是______ Hz周期是_______ s。 11、我国生产和生活所用交流电(即市电)电压为 _ V。其有效值为 _ V,最大值为____ V,工作频率f=____ __Hz,周期为T=_______s,其角速度ω=______rad/s,在1秒钟内电流的方向变化是________次。 二、判断下列说法的正确与错误:正确的打(√),错误的打(×),每小题1分,共 20 分 1、电路处于开路状态时,电路中既没有电流,也没有电压。(_) 2、理想的电压源和理想的电流源是不能进行等效变换。(_) 3、对于一个电源来说,在外部不接负载时,电源两端的电压大小等于电源电动势的大小,且 方向相同。(_) 4、在复杂电路中,各支路中元器件是串联的,流过它们的电流是相等的。(_) 5、用一个恒定的电动势E与内阻r串联表示的电源称为电压源。(_) 6、理想电流源输出恒定的电流,其输出端电压由内电阻决定。(_) 7、将一根条形磁铁截去一段仍为条形磁铁,它仍然具有两个磁极. (_ ) 8、磁场强度的大小只与电流的大小及导线的形状有关,与磁场媒介质的磁导率无关(_)

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

电子技术基础考试试题及参考答案

电子技术基础考试试题及参考答案 试题 一、填空题(每空1分,共30分) 1.硅二极管的死区电压为_____V,锗二极管的死区电压为_____V。 2.常用的滤波电路主要有_____、_____和_____三种。 3.晶体三极管的三个极限参数为_____、_____和_____。 4.差模信号是指两输入端所施加的是对地大小_____,相位_____的信号电压。 5.互补对称推挽功率放大电路可分成两类:第一类是单电源供电的,称为_____电路,并有_____通过负载输出;第二类是双电源供电的,称为_____电路,输出直接连接负载,而不需要_____。 6.功率放大器主要用作_____,以供给负载_____。 7.集成稳压电源W7905的输出电压为_____伏。 8.异或门的逻辑功能是:当两个输入端一个为0,另一个为1时,输出为_____;而两个输入端均为0或均为1时,输出为_____。 9.(1111)2+(1001)2=( _____ )2(35)10=( _____ )2 (1010)2–(111)2=( _____ )2(11010)2=( _____ )10 (1110)2×(101)2=( _____ )2 10.逻辑函数可以用_____、_____、_____等形式来表示。 11.组合逻辑电路包括_____、_____、_____和加法器等。 二、判断题(下列各题中你认为正确的,请在题干后的括号内打“√”,错误的打“×”。全打“√”或全打“×”不给分。每小题1分,共10分) 1.放大器采用分压式偏置电路,主要目的是为了提高输入电阻。() 2.小信号交流放大器造成截止失直的原因是工作点选得太高,可以增大R B使I B减小,从而使工作点下降到所需要的位置。() 3.对共集电极电路而言,输出信号和输入信号同相。() 4.交流放大器也存在零点漂移,但它被限制在本级内部。() 5.同相运算放大器是一种电压串联负反馈放大器。() 6.只要有正反馈,电路就一定能产生正弦波振荡。() 7.多级放大器采用正反馈来提高电压放大倍数。() 8.TTL集成电路的电源电压一般为12伏。() 9.流过电感中的电流能够突变。() 10.将模拟信号转换成数字信号用A/D转换器,将数字信号转换成模拟信号用D/A转换器。() 三、单选题(在本题的每小题备选答案中,只有一个答案是正确的,请把你认为正确答案的代号填入题干后的括号内,多选不给分。每小题2分,共26分) 1.用万用表测得某电路中的硅二极管2CP的正极电压为2V,负极电压为1.3V,则此二极管所处的状态是() A.正偏B.反偏C.开路D.击穿 2.放大器的三种组态都具有() A.电流放大作用B.电压放大作用 C.功率放大作用D.储存能量作用 3.下列各图中,三极管处于饱和导通状态的是()

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

电子技术基础实验答案

电子技术基础实验答案 导语:在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。以下为大家介绍电子技术基础实验答案文章,欢迎大家阅读参考! 实验一、常用电子仪器的使用 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领:

1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 1)为了防止过载损坏仪表,在开机前和测量前应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。

电子技术基础考试必备十套试题,有答案

电子技术基础试题(八) 一.填空题:(每题3分,共30分) 1、PN结具有__________性能。 2、一般情况下,晶体三极管的电流放大系数随温度的增加而_______。 3、射极输出器放在中间级是兼用它的____________大和____________ 小的特点,起阻抗变换作用。 4、只有当负载电阻R L和信号源的内阻r s______时,负载获得的功率最 大,这种现象称为______________。 5、运算放大器的输出是一种具有__________________的多级直流放大器。 6、功率放大器按工作点在交流负载线上的位置分类有:______类功放, ______类功放和_______类功放电路。 7、甲乙推挽功放电路与乙类功放电路比较,前者加了偏置电路向功放 管提供少量__________,以减少__________失真。 8、带有放大环节的串联型晶体管稳压电路一般由__________ 、 和___________四个部分组成。 9.逻辑代数的三种基本运算是 _________ 、___________和___________。 10.主从触发器是一种能防止__________现象的实用触发器。 二.选择题(每题3分,共30分) 1.晶体管二极管的正极的电位是-10V,负极电位是-5V,则该晶体二极管处于:( )。

A.零偏 B.反偏 C.正偏 2.若晶体三极管的集电结反偏、发射结正偏则当基极电流减小时,使该三极管:()。 A.集电极电流减小 B.集电极与发射极电压V CE上升 C.集电极电流增大 3.某三级放大器中,每级电压放大倍数为Av,则总的电压放大倍数:()。 A.3A V B.A3V C.A V3/3 D.A V 4.正弦波振荡器中正反馈网络的作用是:()。 A.保证电路满足振幅平衡条件 B.提高放大器的放大倍数,使输出信号足够大 C.使某一频率的信号在放大器工作时满足相位平衡条件而产生自激 振荡 5.甲类单管功率放大电路中结构简单,但最大的缺点是:()。 A.有交越失真 B.易产生自激 C.效率低6.有两个2CW15稳压二极管,其中一个稳压值是8V,另一个稳压值为 7.5V,若把两管的正极并接,再将负极并接,组合成一个稳压管接 入电路,这时组合管的稳压值是:( )。 A.8V 7.为了减小开关时间,常在晶体管的基极回路中引入加速电容,它的主要作用是:()。

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度T ,振荡频率f 和占空比q 。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 …… …… … … …… …密 … … …… … … … … 封 …… … … … … … … … … 装 … … … … … … … 订 … … … … … … … … … 线 … … … … … … … … … 学院 专业 (班级) 姓名 学号 …… … … … … 线 …

6.触发器电路就输入信号的波形如图3所示,试分别写出D触发器的Q和Q1的表达式,并画出其波形。 图 D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 A B C F

电子技术基础试题

。电子技术基础试题库(第四版) 第一章:半导体二极管 一、填空题 1、根据导电能力来衡量,自然界的物质可以分为______________、__________和__________三类。 导体、绝缘体、半导体 2、PN节具有__________特性,即加正向压时__________,加反向压时__________。 单向导电特性、导通、截止 3、硅二极管导通时的正向管压降约__________V,锗二极管导通时的正向管压降约__________V。 、 4、使用二极管时,应考虑的主要参数是__________、__________。 最大整流电流、最高反向工作电压 5、在相同的反向电压作用下,硅二极管的反向饱和电流常__________于锗二极管的反向饱和电流,所以硅二极管的热稳定性较__________ 小、好 6、根据导电能力来衡量,自然界的物质可分为_______ 、_________和__________三类。导体, 绝缘体,半导体 7、PN结具有_____________性能,即加正向电压时PN结________,加反向电压时的PN结 _________。单向导电性,导通,截止 二,判断题 1、半导体随温度的升高,电阻会增大。()N 2、二极管是线性元件。()N 3、不论是哪种类型的半导体二极管,其正向电压都为0.3V左右。()N 4、二极管具有单向导电性。()Y 5、二极管的反向饱和电流越大,二极管的质量越好。()N 6、二极管加正向压时一定导通()N 7、晶体二极管是线性元件。()N 8、一般来说,硅晶体二极管的死区电压小于锗晶体二极管的死区电压。()Y 三、选择题 1、PN结的最大特点是具有()C A、导电性B、绝缘性C、单相导电性 2、当加在硅二极管两端的正向电压从0开始逐渐增加时,硅二极管()C A、立即导通B、到0.3V才开始导通C、超过死区压才开始导通D、不导通 3、当环境温度升高时,二极管的反向电流将()A A、增大B、减少C、不变D、先变大后变小 4、半导体中传导电流的载流子是()。C A、电子 B、空穴 C、电子和空穴 5、P型半导体是()B A、纯净半导体 B、掺杂半导体 C、带正电的 四、综合题

相关文档
相关文档 最新文档