文档库 最新最全的文档下载
当前位置:文档库 › 远程在线考试系统设计实验报告.doc

远程在线考试系统设计实验报告.doc

远程在线考试系统设计实验报告.doc
远程在线考试系统设计实验报告.doc

系统设计实验报告

——远程在线考试系统

目录

软件需求说明书························

1 引言··························· 1.1编写目的······················· 1.2背景························· 1.3定义························· 1.4参考资料·······················

2 程序系统的结构························

3 程序设计说明·························

1引言

1.1编写目的

本文档的编写目的是为远程在线考试系统项目的设计提供:

a.系统的结构、设计说明;

b.程序设计说明;

c. 程序(标识符)设计说明

1. 2背景

随着网络技术的飞速发展,现在很多的大学及社会上其它的培训部门都已经开设了远程教育,并通过计算机网络实现异地教育。但是,远程教育软件的开发,就目前来说,还是处于起步的阶段。因此,构建一个远程在线考试系统,还是有很大的实际意义的。

根据用户提出的需求,本项目组承接该系统的开发工作

a.开发软件系统的名称:远程在线考试系统

b.本项目的任务提出者:福州大学软件学院

c.用户:各类大专院校学校、中小学校。

1.3定义

远程在线考试系统

远程在线考试系统是基于用Browser/Web模式下的,可以实现考试题库管理、多用户在线考试、自动阅卷功能的系统。

1.4参考资料

?GB 8566 计算机软件开发规范

?GB 8567 计算机软件产品开发文件编制指南

?软件设计标准

?《ASP与SQL-Server2000》清华大学出版社

?《可行性研究报告》

?《项目计划文档》

2程序系统的结构

3程序1(标识符)设计说明

3.1程序描述

注册信息录入及信息处理

基本目的:输入基本资料进行验证保存。3.2功能

基本信息输入和处理包括

●输入和保存姓名

●输入和保存性别

●输入和保存出生日期

●输入和保存考试号码

●输入和保存备注

3.3性能

要求响应时间小于1秒。3.4输人项

3.5输出项

3.6算法

3.7流程逻辑

3.8接口

3.9存储分配

需要数据库存储

3.10注释设计

a.加在模块首部的注释:无

b.加在各分枝点处的注释:对各变量的功能、范围、缺省条件等需要注释;d.对使用的逻辑所加的注释:无

3.11限制条件

3.12测试计划

由本组测试员进行测试。

3.13尚未解决的问题

4程序2(标识符)设计说明

4.1程序描述

考生信息的管理(考生信息处理)对基本信息进行添加、删除、修改、查询等任务。

4.2功能

基本处理包括

●考生基本信息的添加

●考生基本信息的删除

●考生基本信息的修改

●考生基本信息的查询

4.3性能

要求响应时间小于1秒。

4.4输人项

考生考试号码Long包含-2,147,483,648 到

2,147,483,647 之间的整

数。

考生基本信息String包含变长字符串,最大长

度可为20 亿个字符。

4.5输出项

4.6算法

4.7流程逻辑

4.8接口

4.9存储分配

需要数据库存储

4.10注释设计

a.加在模块首部的注释:无

b.加在各分枝点处的注释:对各变量的功能、范围、缺省条件等需要注释;

d.对使用的逻辑所加的注释:无

4.11限制条件

4.12测试计划

由本组测试员进行测试。

4.13尚未解决的问题

5程序3(标识符)设计说明

5.1程序描述

试题模型设置输入可以对试题模型进行管理。5.2功能

基本输入包括

●试题分值输入

●试题数量输入

5.3性能

要求响应时间小于1秒。

5.4输人项

5.5输出项

5.6算法

5.7流程逻辑

5.8接口

5.9存储分配

需要数据库存储

5.10注释设计

a.加在模块首部的注释:无

b.加在各分枝点处的注释:对各变量的功能、范围、缺省条件等需要注释;

d.对使用的逻辑所加的注释:无

5.11限制条件

5.12测试计划

由本组测试员进行测试。

5.13尚未解决的问题

6程序4(标识符)设计说明

6.1程序描述

试题库管理输入与处理是对试题库进行管理。

6.2功能

《管理信息系统》课程设计实验报告

《管理信息系统》课程设计实验报告 课程名称:管理信息系统 指导老师: ******* 院系:商学院 专业班级: ******** 姓名: ******** 学号: ******** 实验日期: 2011.7.11 实验地点:一机房

《管理信息系统》课程设计任务书 一.课程设计目的及意义: 《管理信息系统》课程设计是在完成《管理信息系统》课程学习之后的一次实践性教 学,是本课程理论知识的一次综合运用。通过本课程设计,能够进一步加深对信息、信息系 统、管理信息系统等基础理论知识的理解,能初步掌握结构化的生命周期法、面向对象法等 系统工程方法,进一步加强熟练应用管理信息系统的操作技能,并能够借助于管理信息系统 解决实际问题。 二.课程设计要求: 1.本课程设计时间为一周。 2.本课程设计以教学班为单位进行上机操作及实验。 3.按照任务要求完成课程设计内容。 三.课程设计任务要求: 1.任务内容:进入山东轻工业学院主页,在“网络资源”区域进入“网络教学平台”,输入各自的用户名和密码(学生学号及密码),进入本网络教学平台系统,在充分熟悉本系统 的前提下,完成下列任务要求。 2.任务要求: ①按照课程讲解的系统分析步骤和理论对本系统进行系统分析。 ②绘制不少于 3 个的主要业务流程图。 ③描述上述主要业务流程图的逻辑处理功能。 ④分析本系统的优缺点,提出改进意见,并描述改进的逻辑处理功能,绘制业务流 程图。 四.课程设计评分标准: 按照《管理信息系统课程设计大纲》的要求,本课程 1 学分,采用百分制计分,其中 任务要求②占30 分,任务要求③占30 分,任务要求④占30 分,考勤及实践表现占10 分。五.本课程设计自2011 年 6 月 27 日至 2011 年 7 月 1 日。

智能化电子系统设计报告

目录 1 前言(绪论) (2) 2 总体方案设计 (3) 2.1方案比较4 2.1.1方案一:长期寿命测试 (4) 2.1.2方案二:加速(短期)寿命测试 (4) 2.2方案论证4 3 单元模块设计 (5) 3.1各单元模块功能介绍及电路设计5 3.1.1热阻( Rθ ) 的测量 (5) 3.1.2结温测量 (6) 3.1.3光通量的测量 (7) 3.1.4串口电路的设计 (8) 3.1.5温度控制和报警电路设计 (9) 3.1.6 过零触发电路设计 (9) 3.2电路参数的计算及元器件10 3.2.1 LED灯常用电路参数 (10) 3.2.2电学特性 (10) 3.3特殊器件的介绍13 3.3.1 ADM3251E (13) 3.3.2 ADUC848 (14) 3.3.3 555芯片 (15) 3.4各单元模块的联接17 4 软件设计 (18) 4.1 PROTEL99 SE简介18 4.2软件设计结构及功能18 5 系统调试 (19) 6 系统功能及指标参数 (20) 6.1说明系统能实现的功能20 6.2系统指标参数测试及测试方法说明20 6.2.1失效时间和失效数的确定 (20) 6.2.2 数据处理方法 (22) 6.3系统功能及指标参数分析22 7 结论 (23) 8 总结与体会 (24) 9 参考文献 (25) 附录1:相关设计图 (26) 附录2:元器件清单表 (27) 附录3:相关设计软件 (28)

1 前言(绪论) 1986 年,在蓝宝石基底上沉积高品质GaN 晶体获得成功,并且在1993 年开发出了高亮度蓝光发光二极管( LEDs) 。至今,人们仍在对高亮度蓝光 LED 进行不断地完善。在 1996 年,开发出了采用蓝光 LED 与黄色荧光粉相结合发出白光的 LED 产品并将其商业化[1]。21 世纪照明 METI 国家(Akari) 项目是一项基于高效率白光 LED 照明技术的工程,它利用的是近紫外线 LED 与荧光粉系统相结合的方法,该项目于1998 年启动,其第一阶段的项目已于 2004 年完成。 作为电子元器件,发光二极管(Light Emitting Diode-LED)已出现40多年,但长久以来,受到发光效率和亮度的限制,仅为指示灯所采用,直到上世纪末突破了技术瓶颈,生产出高亮度高效率的LED和兰光LED,使其应用围扩展到信号灯、城市夜景工程、全彩屏等,提供了作为照明光源的可能性。随着LED应用围的加大,提高LED可靠性具有更加重要的意义。LED具有高可靠性和长寿命的优点,在实际生产研发过程中,需要通过寿命试验对LED芯片的可靠性水平进行评价,并通过质量反馈来提高LED芯片的可靠性水平,以保证LED芯片质量,为此我司在实现全色系LED产业化的同时,开发了LED芯片寿命试验的条件、方法、手段和装置等,以提高寿命试验的科学性和结果的准确性。 近些年来,LED 照明因具有许多优点,例如长寿命、低能耗、体积小等而非常有吸引力。最早 LED 只是被用来替换小型白炽灯充当指示器。在其光效有所提高后,LED 被应用于显示器中。随着其光效和总光通量的进一步改善,LED 开始被应用于日常照明领域。对于普通照明设备而言, LED 有限的光通量是一个难以解决的问题。要想获得高光通量就需要有高密度基底和大的工作电流。这将导致LED 产生热量、温度升高, 损坏LED 模块。 随着LED生产技术水平的提高,产品的寿命和可靠性大为改观,LED的理论寿命为10万小时,如果仍采用常规的正常额定应力下的寿命试验,很难对产品的寿命和可靠性做出较为客观的评价,而我们试验的主要目的是,通过寿命试验掌握LED芯片光输出衰减状况,进而推断其寿命。 本设计介绍了LED芯片寿命试验过程,提出了寿命试验条件,完善的试验方案,消除可能影响寿命试验结果准确性的因素,保证了寿命试验结果的客观性和准确性。采用科学的试验线路和连接方式,使寿命试验台不但操作简便、安全,而且试验容量大。

太原理工机械系统设计实验报告

《机械系统设计》 实验报告 姓名:马睿聪 班级:机械Z1317 学号:2013000384

实验一:采煤机的主功能及辅助功能 采煤机是一个集机械、电气和液压为一体的大型复杂系统,工作环境恶劣,如果出现故障将会导致整个采煤工作的中断,造成巨大的经济损失. 采煤机是实现煤矿生产机械化和现代化的重要设备之一.机械化采煤可以减轻体力劳动、提高安全性,达到高产量、高效率、低消耗的目的. 采煤机分锯削式、刨削式、钻削式和铣削式四种:采煤机是一个集机械、电气和液压为一体的大型复杂系统,工作环境恶劣,如果出现故障将会导致整个采煤工作的中断,造成巨大的经济损失.随着煤炭工业的发展,采煤机的功能越来越多,其自身的结构、组成愈加复杂,因而发生故障的原因也随之复杂.双滚筒采煤机综合了国内外薄煤层采煤机的成功经验,是针对我国具体国情而设计的新型大功率薄煤层采煤机. 采煤机的主要组成部分: 采煤机的类型很多,但基本上以双滚筒采煤机为主,其基本组成部分也大体相同。各种类型的采煤机一般都由下列部分组成。 (1)截割部 截割部的主要功能是完成采煤工作面的截煤和装煤,由左、右截割电机,左、右摇臂减速箱,左、右滚筒,冷却系统,内喷雾系统和弧形挡板等组成。截割部耗能占采煤机装机总功率的80%-90%,

因此,研制生产效率高和比能耗低的采煤机主要体现在截割部。 传动装置: 截割部传动装置的作用是将采煤机电动机的动力传递到滚筒上,以满足滚筒转速及转矩的要求;同时,还应具有调高功能,以适应不同煤层厚度的变化。 截割部的传动方式主要有一下几种: a)、电动机-摇臂减速箱-行星齿轮减速箱-滚筒 b)、电动机-固定减速箱-摇臂减速箱-滚筒 c)、电动机-固定减速箱-摇臂减速箱-行星齿轮减速箱-滚筒 d)、电动机-摇臂减速箱-滚筒螺旋滚筒: 螺旋滚筒是采煤机落煤和装煤的工作机构,对采煤机工作起决定性作用,消耗总装功机率的80%-90%。早期的螺旋滚筒为鼓型滚筒,现代采煤机都采用螺旋滚筒。螺旋滚筒能适应煤层的地质条件和先进的采煤方法及采煤工艺的要求,具有落煤、装煤、自开切口的功能。近些年来出现了一些新的截割滚筒,诸如滚刀式滚筒、直

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

系统设计实验报告

系统设计实验报告——远程在线考试系统

目录软件需求说明书························1 引言··························· 1.1编写目的······················· 1.2背景························· 1.3定义························· 1.4参考资料······················· 2 程序系统的结构························ 3 程序设计说明·························

1引言 1.1编写目的 本文档的编写目的是为远程在线考试系统项目的设计提供: a.系统的结构、设计说明; b.程序设计说明; c. 程序(标识符)设计说明 1.2背景 随着网络技术的飞速发展,现在很多的大学及社会上其它的培训部门都已经开设了远程教育,并通过计算机网络实现异地教育。但是,远程教育软件的开发,就目前来说,还是处于起步的阶段。因此,构建一个远程在线考试系统,还是有很大的实际意义的。 根据用户提出的需求,本项目组承接该系统的开发工作 a.开发软件系统的名称:远程在线考试系统 b.本项目的任务提出者:福州大学软件学院 c.用户:各类大专院校学校、中小学校。 1.3定义 远程在线考试系统 远程在线考试系统是基于用Browser/Web模式下的,可以实现考试题库管理、多用户在线考试、自动阅卷功能的系统。

1.4参考资料 ?GB 8566 计算机软件开发规范 ?GB 8567 计算机软件产品开发文件编制指南?软件设计标准 ?《ASP与SQL-Server2000》清华大学出版社?《可行性研究报告》 ?《项目计划文档》 ? 2程序系统的结构 3程序1(标识符)设计说明

电子系统设计报告

课程设计实践报告 一、课程设计的性质、目的与作用 本次电子系统设计实践课程参照全国大学生电子设计模式,要求学生综合利用所学的有关知识,在教师的指导下,分析和熟悉已给题目,然后设计系统方案、画原理图及PCB、软件编程,并做出课程设计报告。因此,在设计中,要求学生应该全面考虑各个设计环节以及它们之间的相互联系,在设计思路上不框定和约束同学们的思维,同学们可以发挥自己的创造性,有所发挥,并力求设计方案凝练可行、思路独特、效果良好。 本课程设计的目的是为了让学生能够全面了解电子电路应用系统的整个设计过程,逐步掌握系统开发的以下相关技术: (1)熟悉系统设计概念; (2)利用所学数电、模拟电路知识,设计电路图; (3)利用PROTEL软件画原理图及PCB; (4)熟悉系统项目设计报告填写知识; (5)培养团队合作意识。 通过本课程设计,有助于学生更好地了解整个课程的知识体系,锻炼学生实际设计能力、分析和思考能力,使其理论与实践相结合,从而为后续课程的学习、毕业设计环节以及将来的实际工作打好坚实的基础。 二、课程设计的具体内容 电子系统设计实践课程就是锻炼学生系统设计、分析和思考能力,全面运用课程所学知识,发挥自己的创造性,全面提高系统及电路设计、原理图及PCB 绘画等硬件水平和实际应用能力,从而体现出电子系统设计的真谛。下面是各个设计阶段的具体内容。 1.系统方案认识 根据所设定的题目,能够给出系统设计方案与思路

题目:信号发生器产生电路,请设计一个能产生正弦波、方波及三角波电路,并制作原理图,然后阐述其原理。 基本原理: 系统框图如图1所示。 图1 低频信号发生器系统框图 低频信号发生器系统主要由CPU、D/A转换电路、基准电压电路、电流/电 压转换电路、按键和波形指示电路、电源等电路组成。 其工作原理为当分别按下四个按键中的任一个按键就会分别出现方波、锯齿 波、三角波、正弦波,并且有四个发光二极管分别作为不同的波形指示灯。2、各部分电路原理 (1)DAC0832芯片原理 ①管脚功能介绍(如图5所示) 图5 DAC0832管脚图 1) DI7~DI0:8位的数据输入端,DI7为最高位。

有限元分析实验报告

武汉理工大学 学生实验报告书 实验课程名称机械中的有限单元分析 开课学院机电工程学院 指导老师姓名 学生姓名 学生专业班级机电研 1502班 2015—2016 学年第2学期

实验一方形截面悬臂梁的弯曲的应力与变形分析 钢制方形悬臂梁左端固联在墙壁,另一端悬空。工作时对梁右端施加垂直向下的30KN的载荷与60kN的载荷,分析两种集中力作用下该悬臂梁的应力与应变,其中梁的尺寸为10mmX10mmX100mm的方形梁。 1.1方形截面悬臂梁模型建立 建模环境:DesignModeler 15.0。 定义计算类型:选择为结构分析。 定义材料属性:弹性模量为2.1Gpa,泊松比为0.3。 建立悬臂式连接环模型。 (1)绘制方形截面草图:在DesignModeler中定义XY平面为视图平面,并正视改平面,点击sketching下的矩形图标,在视图中绘制10mmX10mm的矩形。(2)拉伸:沿着Z方向将上一步得到的矩阵拉伸100mm,即可得到梁的三维模型,建模完毕,模型如下图1.1所示。 图1.1 方形截面梁模型 1.2 定义单元类型: 选用6面体20节点186号结构单元。 网格划分:通过选定边界和整体结构,在边界单元划分数量不变的情况下,通过分别改变节点数和载荷大小,对同一结构进行分析,划分网格如下图1.2所示:

图1.2 网格划分 1.21 定义边界条件并求解 本次实验中,讲梁的左端固定,将载荷施加在右端,施以垂直向下的集中力,集中力的大小为30kN观察变形情况,再将力改为50kN,观察变形情况,给出应力应变云图,并分析。 (1)给左端施加固定约束; (2)给悬臂梁右端施加垂直向下的集中力; 1.22定义边界条件如图1.3所示: 图1.3 定义边界条件 1.23 应力分布如下图1.4所示: 定义完边界条件之后进行求解。

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

电子系统设计报告

电子系统设计与实践—— 具有报时报温功能的电子钟 设计者:电气83班 08041074刘湛 08041072 李旭 内容摘要 本次设计以AT89C52芯片为核心,辅以必要的外围电路,设计了一个简易的具有报时报温功能的电子钟,它由5V直流电源供电。在硬件方面,除了CPU外,使用8个七段LED数码管来进行显示,LED采用的是动态扫描显示,利用74LS573进行数码管段驱动,利用ULN2803A进行位驱动。通过LED能够比较准确显示时、分、秒以及日期和当前室温。利用5个简单的按键分别实现对时间的调整,年月日显示的切换,温度显示切换。时钟日历来源于DS1302芯片。温度测量功能来源于DS18BU20芯片。 软件方面采用C语言编程,以完成功能实现。整个电子钟系统能完成时间的显示,调时,以及温度显示等功能。 关键词:电子系统设计AT89C52 LED数码管日历芯片DS1302 温度测量芯片DS18BU20

目录 一.实现功能、任务以及具体要求二.重要硬件简介及应用 三.功能的论证与实现 四.系统框图 五.总体设计系统电路原理图和PCB 版图 六.程序流程图 七.实验遇到的问题及改进 八.实验总结及感想 九.参考书目 十.源程序

一.实现功能、任务以及具体要求1.目的及任务: (1)通过查阅相关资料,深入了解温度测量相关知识; (2)学习动态显示方式的实现方法及原理; (3)复习“MCS-51单片机原理及C语言程序设计”,掌握其接口扩展; (4)确定具有报时报温功能的电子钟的原理图,构建硬件平台; (5)采用汇编或C语言编写应用程序并调试通过;(6)制作出样机并测试达到功能和技术指标要求;(7)写出设计报告和答辩PPT。 .2.具体工作内容: (1)技术要求: 1. 时钟日历来源于DS1302芯片。 2. 温度测量使用DS18BU20。 3. 定闹功能、蜂鸣器音提示。 4. 具有实时年月日显示和校时功能。 5. 六位数码管动态显示,可采用按键切换显示。(2)工作任务: 1.组建具有报时报温功能的电子钟的总体结构框图;

机械设计实验报告

前言 一、实验课目的 本课程实验课目的在于:验证、巩固和加深课堂讲授的基本理论,加强理论联系实际及独立工作能力的培养;掌握一些最基本的机械实验方法、测量技能及用实验法来测定一些机械参数的能力;以及培养学生踏实细致、严肃认真的科学作风。因此,实验课是一个不可缺少的重要环节,每个学生必须认真对待,在课前进行预习,在课后分析试验结果,写成正规的实验报告。实验课为评定学生成绩的一部分。 二、实验前的准备工作 为了保证实验顺利进行,要求在实验前做好准备工作,教师在实验前要进行检查和提问,如发现有不合格者,提出批评,甚至停止实验的进行,实验准备工作包括下列几方面内容: 1.预习好实验指导书:明确实验的目的及要求;搞懂实验的原理;了解实验进行的步骤及主要事项,做到心中有底。 2.准备好实验指导书中规定自带的工具、纸张。 3.准备好实验数据记录表格。表格应记录些什么数据自拟。 三、遵守实验室的规章制度 1.验前必须了解实验设备、仪器的使用性能、操作规程及使用须知,否则不得操作。 2.严格按照规定,精心操作设备、仪器。 3.实验室内与本实验无关的设备与仪器,一律不得乱动。 4.在实验室严守纪律,不得高声谈笑,保持室内整洁。 5.实验完毕后,用过设备、仪器放回原处,并整理清洁、经教师同意后才得离开。 四、实验报告 实验报告是对实验所有数据、现象进行整理,分析得出一定结论与看法的书面文件。学生在实验后必须按照要求,整理并分析处理所的结果,写成正规的实验报告。 为了写好实验报告,提出以下几点: 1.实验结果记录应经实验指导教师过目签字,并随实验报告一起交上。 2.报告中的结果分析及讨论应力求具体,应针对试验具体情况,防止不切实际的空谈。 3.实验报告要求每人一份。 4.实验报告应在实验完毕后一星期内,由班委汇集交老师。 吉林大学珠海学院 机械工程学院 2018年9月10日

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

操作系统课程设计实验报告

河北大学工商学院 课程设计 题目:操作系统课程设计 学部信息学部 学科门类电气信息 专业计算机 学号2011482370 姓名耿雪涛 指导教师朱亮 2013 年6月19日

主要内容 一、设计目的 通过模拟操作系统的实现,加深对操作系统工作原理理解,进一步了解操作系统的实现方法,并可练习合作完成系统的团队精神和提高程序设计能力。 二、设计思想 实现一个模拟操作系统,使用VB、VC、CB等windows环境下的程序设计语言,以借助这些语言环境来模拟硬件的一些并行工作。模拟采用多道程序设计方法的单用户操作系统,该操作系统包括进程管理、存储管理、设备管理、文件管理和用户接口四部分。 设计模板如下图: 注:本人主要涉及设备管理模块

三、设计要求 设备管理主要包括设备的分配和回收。 ⑴模拟系统中有A、B、C三种独占型设备,A设备1个,B设备2个,C设备2个。 ⑵采用死锁的预防方法来处理申请独占设备可能造成的死锁。 ⑶屏幕显示 注:屏幕显示要求包括:每个设备是否被使用,哪个进程在使用该设备,哪些进程在等待使用该设备。 设备管理模块详细设计 一、设备管理的任务 I/O设备是按照用户的请求,控制设备的各种操作,用于完成I/O 设备与内存之间的数据交换(包括设备的分配与回收,设备的驱动管理等),最终完成用户的I/O请求,并且I/O设备为用户提供了使用外部设备的接口,可以满足用户的需求。 二、设备管理函数的详细描述 1、检查设备是否可用(主要代码) public bool JudgeDevice(DeviceType type) { bool str = false; switch (type) { case DeviceType.a: {

电子系统设计报告

电子系统设计报告 设计题目:基于单片机的简易电压表设计 指导老师:///////// 专业班级:///////// 报告人姓名://///////// (签名) 学号:////////// 信息工程学院通信工程教研室

摘要 数字电压表简称DVM,它是采用了数字化测量技术,把连续模拟量(直流输入电压)转换成不连续,离散的数字形式加以现实的仪表。传统的指针是电压表功能单一,精度低,不能满足数字化时代的需求,采用单片机的数字电压表,由精度高,抗干扰能力强,可扩展性强,集成方便,不可与PC进行实时通信。目前由各种单片机A/D转换器构成的数字电压表,已被广泛的应用为电子及其电工的测量,工业自动化仪表,自动测试系统等智能化测量领域,显示出强大的生命力。数字电压表是诸多数字化仪表的核心与基础,电压表的数字化是将连续的模拟量如直流电压转换成不连续的离散的数字形式,并加以显示,这有别于传统的指针加刻度盘进行读数的方法,避免了读数的视差和视觉的疲劳,目前数字电压表的核心部件是A/D转换器,转换器的精度很大程度上影响着数字电压表的准确度。本设计主要分为两部分:软件仿真原理图及软件程序。而软件仿真又大体可分为单片机小系统电路、A/D转换电路、LCD显示电路,各部分电路的设计及原理将会在软件仿真设计部分详细介绍;程序的设计使用C语言编程,利用keil软件对其编译,详细的设计算法将会在程序设计部分详细介绍。 关键字:数字电压表转换A/D转换器

目录 第一章绪论 (3) 第二章设计准备知识 (3) 2.1设计目的 (3) 2.2设计要求或内容 (3) 2.3设计软件及材料 (3) 2.3.1单片机软件开发工具keil介绍 (3) 2.3.2仿真软件protues介绍 (4) 2.3.3ADC0804 介绍 (4) 2.3.4液晶显示器 (4) 第三章整体设计过程 (4) 3.1设计思路 (4) 3.2模块分析 (5) 3.2.1AT89C51单片机 (5) 3.2.2A/D转换 (6) 3.2.3显示电路 (6) 3.3程序设计 (7) 3.3.1程序设计总方案 (7) 3.3.2系统子程序设计 (7) 3.4软件调试 (8) 第四章显示结果及误差分析 (8) 4.1 显示结果 (8) 4.2误差分析 (10) 第五章出现的问题及解决 (10) 5.1问题 (10) 5.2改进 (11) 第六章设计总结 (11) 第七章附件:(程序) (12) 7.1主程序 (12) 7.2SMC1602 (13) 7.3AD转换程序 (16)

心得体会 机械原理实验心得体会

机械原理实验心得体会 机械原理实验心得体会 机械原理课程设计心得体会 十几天的机械原理课程设计结束了,在这次实践的过程中学到了一些除技能以外的其他东西,领略到了别人在处理专业技能问题时显示出的优秀品质,更深切的体会到人与人之间的那种相互协调合作的机制,最重要的还是自己对一些问题的看法产生了良性的变化. 在社会这样一个大群体里面,沟通自然是为人处世的基本,如何协调彼此的关系值得我们去深思和体会.在实习设计当中依靠与被依靠对我的触及很大,有些人很有责任感,把这样一种事情当成是自己的重要任务,并为之付出了很大的努力,不断的思考自己所遇到的问题.而有些人则不以为然,总觉得自己的弱势…..其实在生活中这样的事情也是很多的,当我们面对很多问题的时候所采取的具体行动也是不同的,这当然也会影响我们的结果.很多时候问题的出现所期待我们的是一种解决问题的心态,而不是看我们过去的能力到底有多强,那是一种态度的端正和目的的明确,只有这样把自己身置于具体的问题之中,我们才能更好的解决问题. 在这种相互协调合作的过程中,口角的斗争在所难免,关键是我们如何的处理遇到的分歧,而不是一味的计较和埋怨.这不仅仅是在类似于这样的协调当中,生活中的很多事情都需要我们有这样的处理能力,面对分歧大家要消除误解,相互理解,增进了解,达到谅解…..也许很多问题没有想象中的那么复杂,关键还是看我们的心态,那种处理和解决分歧

的心态,因为毕竟我们的出发点都是很好的.课程设计也是一种学习同事优秀品质的过程,比如我组的纪超同学,人家的确有种耐得住寂寞的心态.确实他在学习上取得了很多傲人的成绩,但是我所赞赏的还是他追求的过程,当遇到问题的时候,那种斟酌的态度就值得我们每一位学习,人家是在用心造就自己的任务,而且孜孜不倦,追求卓越.我们过去有位老师说得好,有有些事情的产生只是有原因的,别人能在诸如学习上取得了不一般的成绩,那绝对不是侥幸或者巧合,那是自己付出劳动的成果的彰显,那是自己辛苦过程的体现.这种不断上进,认真一致的心态也必将导致一个人在生活和学习的各个方面做的很完美,有位那种追求的锲而不舍的过程是相同的,这就是一种优良的品质,它将指引着一个人意气风发,更好走好自己的每一步. 在今后的学习中,一定要戒骄戒躁,态度端正,虚心认真….要永远的记住一句话:态度决定一切. 一、温故而知新。课程设计发端之始,思绪全无,举步维艰,对于理论知识学习不够扎实的我深感“书到用时方恨少”,于是想起圣人之言“温故而知新”,便重拾教材与实验手册,对知识系统而全面进行了梳理,遇到难处先是苦思冥想再向同学请教,终于熟练掌握了基本理论知识,而且领悟诸多平时学 习难以理解掌握的较难知识,学会了如何思考的思维方式,找到了设计的灵感。二、思路即出路。当初没有思路,诚如举步维艰,茫茫大地,不见道路。在对理论知识梳理掌握之后,茅塞顿开,柳暗花明,思路如泉涌,高歌“条条大路通罗马”。顿悟,没有思路便无出路,

电子系统设计总结报告汇编

电子系统设计总结报告 题目:医院呼叫系统 班级: 组别:第四组 指导教师:张廷荣 设计时间

医院呼叫系统 一、引言 1. 选题意义 1.1 性价比 在此次课程设计中,选用的原件蜂鸣器、74LS147译码器、555定时器等,都是较常见和比较常用的,比较经济实惠,节约成本。因此,该方案设计的医院呼叫系统经济适用,成本合适,性价比较高。 1.2 EWB模拟仿真 EWB模拟仿真图如图1所示(见附录1)。 综上所述,呼叫器应用广泛,所需器件价格低,成本低,性价比高。经过EWB模拟仿真结果可得出,它具有可实行性。所以我们选则这个题目进行设计与制作。2. 设计目标 对于此课题,主要分为三个模块,一是采用74LS147为核心进行优先编码,设计优先编码模块,多人同时呼救时,危重病人优先被医治;二是采用555定时器与74LS192组成呼叫系统控制模块,三是呼叫提示系统,由二极管和蜂鸣器组成,病房病人呼叫即开关闭合时,二极管发光提示,蜂鸣器报警,持续5秒钟 3.小组成员及分工 二、作品说明 1.功能 此设计是用于医院病人的紧急呼叫,它的功能如下: 1.当病人按下呼救信号按钮,呼救灯亮,同时显示病人编号,蜂鸣器发出5秒呼救声,等待医护人员来护理。 2.按照病人的病情划分出优先级别,有多个病人同时呼救时,系统优先显示最高级别的呼救编号。 3.当医护人员处理完最高级别呼救后,按下清零键,系统按优先等级先后显示其它病人编号。 2. 操作说明

此设计使用的的是四节1.5V干电池,放入电池槽中即可。病人在需要帮助时,只需按下与自己床位相对应的开关,医生便可获知病人相应的床位信息 三、基本原理 1. 原理图 (1) 方案呼叫系统电路原理框图如图2所示。 图2医院呼叫系统电路的原理框图 对于此课题,主要分为三个模块,一是采用74LS147为核心进行优先编码,设计优先编码模块,多人同时呼救时,数码管按优先级显示病人病房编号,危重病人优先被医治;二是采用555定时器与74LS192组成呼叫系统控制模块,控制呼叫提示系统;三是呼叫提示系统,由二极管和蜂鸣器组成,病房病人呼叫即开关闭合时,二极管发光提示,蜂鸣器报警,持续5秒钟。 (2) 电路原理图如图3所示(见附录2) 2.工作原理 (1) 直流电源 将四节电压为1.5V的干电池串联起来,为整个电路提供电压。 (2)呼叫控制模块 利用由555定时器和外接元件R 1、R 2 、C构成多谐振荡器,长时间的振震荡 信号驱动蜂鸣器呼叫。配以相应参数的阻容器件以及计数器74LS192,可将振荡时间准确的控制在要求的8秒钟 每次呼叫时长:T=(R1+2R2)×C1×Ln2×8 =(15+2×68)×0.00001×Ln2×8= 8s 呼叫控制电路原理图如图3所示:

有限元分析实验报告

学生学号1049721501301实验课成绩 武汉理工大学 学生实验报告书 实验课程名称机械中的有限单元分析机电工程学院开课学院 指导老师姓名

学生姓名 学生专业班级机电研1502班 学年第学期2016—20152 实验一方形截面悬臂梁的弯曲的应力与变形分析 钢制方形悬臂梁左端固联在墙壁,另一端悬空。工作时对梁右端施加垂直 向下的30KN的载荷与60kN的载荷,分析两种集中力作用下该悬臂梁的应力与应变,其中梁的尺寸为10mmX10mmX100mm的方形梁。 方形截面悬臂梁模型建立1.1 建模环境:DesignModeler15.0。 定义计算类型:选择为结构分析。 定义材料属性:弹性模量为 2.1Gpa,泊松比为0.3。 建立悬臂式连接环模型。 (1)绘制方形截面草图:在DesignModeler中定义XY平面为视图平面,并正 视改平面,点击sketching下的矩形图标,在视图中绘制10mmX10mm的矩形。 (2)拉伸:沿着Z方向将上一步得到的矩阵拉伸100mm,即可得到梁的三维模型,建模完毕,模型如下图 1.1所示。

图1.1方形截面梁模型 :定义单元类型1.2 选用6面体20节点186号结构单元。 网格划分:通过选定边界和整体结构,在边界单元划分数量不变的情况下,通过分别改变节点数和载荷大小,对同一结构进行分析,划分网格如下图 1.2

所示: 图1.2网格划分 1.21定义边界条件并求解 本次实验中,讲梁的左端固定,将载荷施加在右端,施以垂直向下的集中 力,集中力的大小为30kN观察变形情况,再将力改为50kN,观察变形情况,给出应力应变云图,并分析。 (1)给左端施加固定约束; (2)给悬臂梁右端施加垂直向下的集中力; 1.22定义边界条件如图1.3所示:

数字系统设计软件实验报告

实验一QuartusⅡ9.1软件的使用 一、实验目的: 1、通过实现书上的例子,掌握QUARTUSII9.1软件的使用; 2、编程实现3-8译码电路以掌握VHDL组合逻辑的设计以及QUARTUSII9.1软件的使用。 二、实验流程: 1、仔细阅读书上的操作指南,学会在QuartusⅡ9.1中创建新的工程,创建过程如下所示: 1)、建立新设计项目: ①启动QuartusⅡ9.1软件,在软件的管理器窗口选File下拉菜单,即File→New Project Wizard,则出现新建工程向导窗口。如下所示: ②点击Next按钮,将弹出新建工程设置窗口,如下图所示。在新建工程设置窗口中设置好工程的存放路径、工程名称等。

③点击Next进入添加文件窗口,如下图。由于尚未创建文件,跳过该步骤。 ④点击Next按钮,进入选择目标芯片窗口。在这里我们选择Cyclone系列的EP1C6Q240C8,如下图:

⑤点击Next按钮,进入EDA工具设置窗口,通常选择默认的“None”,表示选择QuartusⅡ自带的仿真器和综合器。如下图: ⑥点击Next按钮,弹出New Project Wizard概要对话框,在这个窗口中列出了所有前面设置的结果。若有错误则点击Back回去修改,否则点击Finish结束,即完成新工程的设定工作。如下图:

2)、文本设计输入: ①在QuartusⅡ主界面菜单栏中选择File下拉菜单中的New,弹出新建设计文件窗口,选择VHDL File项,点击OK按钮即可打开VHDL文本编辑窗口,其默认文件名为“Vhdl.vhd”。 ②出现文本编辑窗口后,我们可以直接在空白界面中键入所设计的VHDL文本。这时我们将书本中的程序输入到文本编辑环境中去。程序如下: library IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity count10 is port(clk,load,en:in std_logic; data_in:in std_logic_vector(3 downto 0); seg:out std_logic_vector(6 downto 0)); end count10; architecture beha of count10 is signal qout:std_logic_vector(3 downto 0); signal q_temp:std_logic_vector(3 downto 0); begin process(clk,load) begin

电子技术课程设计总结报告

电子技术课程设计总 结报告

摘要 (3) 第一章设计指标 (4) 1.1设计题目 (4) 1.2设计任务和要求 (4) 1.3设计原理 (4) 第二章系统方案 (5) 2.1 系统模块及框图 (5) 2.2 单元电路设计 (6) 2.2.1 秒基准信号发生器 (6) 2.2.2 计数器 (7) 2.2.3 数码显示 (8) 2.2.3 校时切换电路 (8) 2.2.3 校时切换电路 (9) 2.2.4 整体电路图 (9) 2.2.5 部分芯片实际引脚图及功能 (11) 2.3 multisim 仿真 (12) 第三章方案总结 (12) 3.1 元件清单 (12) 3.2电路及方案的特点 (12) 3.3 心得体会 (13) 参考文献: (13)

摘要 时钟是生活中必不可少的工具,实际生活中,时钟小巧精致甚至很多是作为另一个工具的附加物(如手机、收音机等)。但实际上时钟的原型——脉冲源是时序逻辑电路完成其逻辑功能的基础。如果电源是数字电路的发动机的话,那么时钟源就是它的轮胎使它能向前运行,所以几乎所有电子产品都离不开时钟源。本设计目的不在制作生活用的电子时钟,而是希望通过对电子钟的分模块设计,加深对震荡电路、波形转换、分频器、计数器、数据选择器、译码器、数码管等的理解,加强对实际集成器件的应用,锻炼电路焊接技术和检查排错能力。 本设计通过32768Hz晶体和14位二进制分频器4060产生2Hz的脉冲信号,再通过JK 触发器4027组成的二分频器产生1Hz秒脉冲,比基于555定时器的时钟源精确和稳定。显示部分采用CD4511驱动共阴极7段数码管。校时部分采用四二选一数据选择器74157芯片选择正常走时或手动校时。 设计过程中先使用multisim11.0进行仿真设计,后又进行实际焊接。

心得体会 机械设计学实验心得体会

机械设计学实验心得体会 机械设计学实验心得体会 《机械设计学》实践教学机械创新设计实验报告 学生姓名:学号:班级: 题目: 小组组长: 年月日 机械创新设计实验 一、 实验目的 通过实验使学生能够以系统的观点去发掘机械产品设计的规律和特点,并培养学生的创新意识,使学生的综合素质得到提高。二、实验原理 系统设计方法。三、实验要求 分组(最好4~6人)或个人完成实验,独立完成实验报告。四、实验仪器设备 机械系统设计手册及相关参考书。五、实验类型、性质与学时 类型:综合、创新学时:6课时六、实验步骤 根据所学知识,提出一机械设备总体设计方案(参考题目附后),要求包含物料 流系统、能量流系统及信息流系统;分小组讨论并确定方案。七、实验报告

实验报告必须包含的内容: 1、引言:对所选课题进行资料收集,简单介绍类似系统或技术的国内外研究与应用现状; 2、描述系统的总功能、分功能; 3、分功能实现方案;3、绘制系统的功能结构图; 4、绘制系统的运动循环图; 5、绘制系统的总体设计草图; 题目一(适用于一组):载重车装载系统的开发 见教材P362《课外作业1》 题目二(适用于二组):讲义自动发放机见教材P363《课外作业3》题目四(适用于四组):比萨饼成形机见教材P365《课外作业7》 题目六(适用于六组):移动车载升降系统设计设计一个安装在一台卡车上的升降平台,要求如下: 1.收缩范围:距离车厢底部2米到8米; 2.收缩至2米时不影响卡车正常行驶; 3.升高至8米时能够保证在不大于10km/h的行使速度,加速度不大于0.5m/s2 的情况下安全作业; 4.平台顶部能承受30kg负荷。 要求设计移动平台(含驱动、制动、控制系统)题目八(适用于七组):布线装置见教材P364《课外作业4》 分组情况 1题目一魏绍超吴昊张健马耀军许晓蒙贺建博2题目二齐相宇杨荣耀

相关文档
相关文档 最新文档