文档库 最新最全的文档下载
当前位置:文档库 › 数字通信实验报告

数字通信实验报告

数字通信实验报告
数字通信实验报告

课程设计报告

课程设计名称:数字通信

系:

学生姓名:

班级:

学号:

成绩:

指导教师:吴琼

开课时间:2013-2014学年二学期

目录

一、课程设计目的 (2)

二、设计任务书 (2)

三、进程安排 (2)

四、具体要求 (3)

五、课程设计内容 (3)

5.1 二进制数字信号调制原理 (3)

5.1.1 2ASK信号调制原理 (3)

5.1.2 2FSK信号调制原理 (4)

5.1.3 2PSK信号调制原理 (4)

5.1.4 2DPSK信号调制原理 (5)

5.1.5 源程序 (5)

5.1.6 调制实验结果 (9)

5.2 二进制数字信号解调原理 (12)

5.2.1 2ASK信号调制原理 (12)

5.2.2 2FSK信号解调原理 (13)

5.2.3 2PSK信号解调原理 (14)

5.2.4 2DPSK信号解调原理 (15)

5.3 二进制数字信号的功率谱密度 (16)

5.3.1 2ASK 信号的功率谱密度 (16)

5.3.2 2FSK 信号的功率谱密度 (17)

5.3.3 2PSK及2DPSK信号的功率谱密度 (18)

六、运行程序过程中产生的问题及采取的措施 (19)

七、总结和展望 (20)

八、参考文献 (20)

一、课程设计目的

本课程是为通信工程专业本科生开设的专业必修课,结合学生的专业方向的理论课程,充分发挥学生的主动性,使学生掌握应用MATLAB或者SYSTEMVIEW 等仿真软件建立通信系统,巩固理论课程内容,规范文档的建立,培养学生的创新能力,并能够运用其所学知识进行综合的设计。

通信系统原理的课程设计是对通信系统仿真软件、课程学习的综合检验,配合理论课的教学,让学生亲自参加设计、仿真、验证通信系统的一般原理、调制解调原理、信号传输及受噪声影响等方面的知识点。

二、设计任务书

选题二:数字频带传输系统的设计

要求:

a.利用所学的《通信原理及应用》的基础知识,分别设计2ASK、2FSK、2PSK、2DPSK数字调制器。完成对各种二进制数字已调信号的的调制器与解调器的电路设计与程序仿真,并对其仿真结果进行分析。要求理解2ASK信号的产生,掌握2ASK信号的调制原理和实现方法并画出实现框图。

b.利用MATLAB、SystemView、C等语言进行,软件不限。要求给出2ASK、 2FSK、2PSK、2DPSK 各种已调信号的调制、解调的原理框图、仿真电路图,给出信号的频谱图、调制前与解调后数据波形比较覆盖图,加噪前后相关波形。

三、进度安排

15.5周的时间安排:

星期四图书馆查阅资料,确定选题和软件,思考总体设计方案星期五熟悉软件的编程环境

16周的时间安排:

星期一总体设计方案的确定与设计

星期二各部分的具体实现

星期三程序调试并程序注释

星期四整理完成设计报告,答辩

星期五答辩;打印并上交(内容、格式、字体等均要符合要求)

四、具体要求

1.设计2ASK 、2FSK 、2PSK 、2DPSK 数字调制器。完成对各种二进制数字已调信号的调制器与解调器的电路设计与程序仿真,给出系统框图,并对各个模块的波形进行仿真和结果分析。其中数字基带信号为11001000111110,载波信号为cos2000πt ,传码率为1000B 。在2FSK 中载频2为2cos4000πt 。

2.要求理解2ASK 、2FSK 、2PSK 、2DPSK 信号的各种产生和解调时各个时刻的波形。

3.要求给出2ASK 、2FSK 、2PSK 、2DPSK 各种已调信号的调制、解调的原理框图、仿真电路图,给出信号的频谱图、基带信号与解调后还原的信号进行,如出现误码分析原因。

4.给出2DPSK 的相对码和绝对码之间的关系式。并考虑从相对码和绝对码两个角度分别如何得出2DPSK 的波形

五、课程设计内容

5.1 二进制数字信号调制原理

5.1.1 2ASK 信号调制原理

2ASK 二进制振幅调制就是用二进制数字基带信号控制正弦载波的幅度,使载波振幅随着二进制数字基带信号而变化,而其频率和初始相位保持不变。信息比特是通过载波的幅度来传递的。其信号表达式为:t w t S t e c o cos ).()( ,S(t)为单极性数字基带信号。由于调制信号只有0或1两个电平,相乘的结果相当于将载频或者关断,或者接通,它的实际意义是当调制的数字信号“1”时,传输载波;当调制的数字信号为“0”时,不传输载波。2ASK 信号的时间波形e2ASK(t)随二进制基带信号S(t)通断变化。所以又被称为通断键控信号。典型波形如图1所示。

图1:典型2ASK 波形

5.1.2 2FSK 信号调制原理

二进制频率调制是用二进制数字信号控制正弦波的频率随二进制数字信号的 变化而变化。由于二进制数字信息只有两个不同的符号所以调制后的已调信号 有两个不同的频率f1和f2,f1对应数字信息“1”,f2对应数字信息“0”。 二进制数字信息及已调载波,如图2所示。

图2 :2FSK 信号

5.1.3 2PSK 信号调制原理

如果两个频率相同的载波同时开始振荡,这两个频率同时达到正最大值,同时达到零值,同时达到负最大值,它们应处于"同相"状态;如果其中一个开始得迟了一点,就可能不相同了。如果一个达到正最大值时,另一个达到负最大值,则称为"反相"。一般把信号振荡一次(一周)作为360度。如果一个波比另一个波相差半个周期,我们说两个波的相位差180度,也就是反相。当传输数字信号时,"1"码控制发0度相位,"0"码控制发180度相位。载波的初始相位就有了移动,也就带上了信息。 相移键控是利用载波的相位变化来传递数字信息,而振幅和频率保持不变。在2PSK 中,通常用初始相位0和π分别表示二进制“1”和“0”。因此,2PSK 信号的时域表达式为)cos()(2n c PSK t w A t e ψ+=其中,

Ψn 表示第n 个符号的绝对相位:”时1发送“”时0发送“0???=πψn

因此,上式可以改写为

P P

t t

e PSK -1概率为

概率为Acosw -Acosw (t)c c 2??

?=

2PSK 调制波形为

图3:2PSK信号

5.1.4 2DPSK信号调制原理

2DPSK方式即是利用前后相邻码元的相对相位值去表示数字信息

的一种方式。现假设用Φ表示本码元初相与前一码元初相之差,并规定:Φ=0表示0码,Φ=π表示1码。则数字信息序列与2DPSK信号的码元相位关系可举例表示如2PSK信号是用载波的不同相位直接去表示相应的数字信号而得出的,在接收端只能采用相干解调,它的时域波形图如图4所示。

图4: 2DPSK信号

5.1.5 源程序

rn=[1 1 0 0 1 0 0 0 0 1 1 1 1 1 0]

select=menu('调制器','2ASK','2FSK','2PSK','2DPSK')

switch select

case 1,scolor='2ASK';

N=100;

a=rn;

bita=[];sl=[];

bitRate=1000;fc=1000;%载频1KHZ

t=linspace(0,1/bitRate,N);

for i=1:length(a)

if a(i)==0

bit1=zeros(1,N);

else

bit1=ones(1,N);

end

bita=[bita,bit1];

c=cos(2*pi*t*fc);

sl=[sl c];

end

figure(1);

subplot(2,1,1);plot(bita,'LineWidth',2),title('基带信号'),grid on;axis([0,N*length(a),-1,2]);

tz=bita*6.*sl;

subplot(2,1,2);plot(tz,'LineWidth',2);title('2ASK调制后信号');grid on;

case 2,scolor='2FSK';

N=100;%模拟一个码元的点数

a=rn;%码元生成

bita=[];%定义空数组,存放基带信号

for i=1:length(a)

if a(i)==0

bit1=zeros(1,N);

else

bit1=ones(1,N);

end

bita=[bita,bit1];%基带信号

end

figure(1);

subplot(2,1,1);plot(bita,'LineWidth',2),title('基带信号'),grid on;axis([0,N*length(a),-1,2]);

bitRate=1000;

fc=1000;%载频1KHZ

t=linspace(0,1/bitRate,N);

tz=[];

c1=cos(2*pi*t*fc);%载波

c2=2*cos(2*pi*t*fc*2);%载波

for i=1:length(a)

if a(i)==1

tz=[tz,c1];

else

tz=[tz,c2];

end

end

subplot(2,1,2);plot(tz,'LineWidth',2);title('2FSK已调信号');grid on;

case 3,scolor='2PSK'

i=15;

fc=1000;%----------------------------载波频率

br=1000;%----------------------------码元速率

t=linspace(0,15,j);

%------------------------------------%产生基带信号a=rn;

st1=t;

for n=1:15

if a(n)<1;

for m=j/i*(n-1)+1:j/i*n

st1(m)=0;

end

else

for m=j/i*(n-1)+1:j/i*n

st1(m)=1;

end

end

end

figure(1);

subplot(311);

plot(t,st1,'LineWidth',2);

title('基带信号st1');

axis([0,15,-1,2]);

st2=t;

for k=1:j;

if st1(k)>=1;

st2(k)=0;

else

st2(k)=1;

end

end;

subplot(312);

plot(t,st2,'LineWidth',2);

title('基带信号反码st2');

axis([0,15,-1,2]);

s1=cos(2*pi*fc*t);

s2=cos(2*pi*fc*t+pi);

F1=st1.*s1;%----------------------载波1

F2=st2.*s2;%----------------------载波2

psk=F1+F2;

subplot(313);

plot(t,psk,'LineWidth',2);

title('2psk');

case 4,scolor='2DPSK'

j=1500;

fc=1000;%载波频率

fm=1000;%码元速率

t=linspace(0,15,j);

a=rn;

st1=t;

for n=1:15

if a(n)<1;

for m=j/i*(n-1)+1:j/i*n

st1(m)=0;

end

else

for m=j/i*(n-1)+1:j/i*n

st1(m)=1;

end

end

end

figure(1);

subplot(311);

plot(t,st1,'LineWidth',2);

title('绝对码');

axis([0,15,-1,2]); %%%%%%%%%%%%%%%%%%%%%%%%%%%%%%差分变换b=zeros(1,i);%%%%%%%%全零矩阵

b(1)=a(1);

for n=2:15

if a(n)>=1;

if b(n-1)>=1

b(n)=0;

else

b(n)=1;

end

else

b(n)=b(n-1);

end

end

st1=t;

for n=1:15

if b(n)<1;

for m=j/i*(n-1)+1:j/i*n

st1(m)=0;

end

else

for m=j/i*(n-1)+1:j/i*n

st1(m)=1;

end

end

end

subplot(312);

plot(t,st1,'LineWidth',2);

title('相对码st1');

axis([0,15,-1,2]);

st2=t;

for k=1:j;

if st1(k)>=1;

st2(k)=0;

else

st2(k)=1;

end

end;

s1=sin(2*pi*fc*t);

s2=sin(2*pi*fc*t+pi);

d1=st1.*s1;

d2=st2.*s2;

e_dpsk=d1+d2;

subplot(313);

plot(t,e_dpsk,'LineWidth',2);

title('调制后波形');

end

5.1.6 调制实验结果

运行出现菜单

图5:菜单

选择第一个“2ASK ”,出现图6,老师给的要求是数字基带信号

11001000111110,载波信号为cos2000πt ,传码率为1000B 。因为传码率是1000B , 载波频率是1000,1000/1000=1,一个二进制码元,对应一个载波周期。即一个 “1”对应一个载波周期, “0”对应的是无载波,所以效果图是正确的,如图 6所示:

0500

10001500

-1

1

2

基带信号

500

1000

1500

-10-505

102ASK 调制后信号

图6: 2ASK 调制

选择第二个“2FSK ”,老师给的要求里提到在2FSK 中载频2为2cos4000πt 。 基带为“1”时,出现载频1,基带为“0”时,出现载频2。 一个“1”对应一个载波周期,一个“0”对应两个载波周期,并且幅度为2,所以效果图是正确的,如图7所示:

0500

10001500

基带信号

500

1000

1500

2FSK 已调信号

图7: 2FSK 调制

选择第三个“2PSK ”,基带信号“0”和“1”出现的载频相差180°,基带信号出现“1”则是余弦波,出现“0”则是和余弦波相差180°的正弦波,所以效果图是正确的,如图8所示:

5

10

15

基带信号st1

5

10

15

基带信号反码st2

2psk

图8: 2PSK 调制

选择第四个“2DPSK ”,相对码实质上就是绝对码的“1变0不变”,出现一次“1” 就改变一次波形,出现“0”就不改变波形,相当于延时,所以效果图是正确的, 如图9所示:

5

10

15

-101

2绝对码

5

10

15

-101

2相对码st1

051015

-10

1调制后波形

图9: 2DPSK 调制

5.2 二进制数字信号解调原理

5.2.1 2ASK 信号调制原理

2ASK/OOK 信号有两种基本的解调方法:非相干解调(包络检波法)和相干解 调(同步检测法)。相干解调也叫同步解调,就是利用相干波和接收到的2ASK 信号相乘分离出包含原始数据信号的低频信号,再进行抽样判决恢复数字序列。相干波必须是与发送端同频同相的正弦信号。

图10:相干解调方式

)2cos()(2

1

)(21)]2cos(1)[(21)(cos )()cos()()(2t w t m t m t w t m t w t m t w t y t Z c c c c +=+=

== 上式中,1/2m(t)是基带信号,1/2m(t)cos(2ωct)是频率为2ωc 的高频信号, 利用低通滤波器可检出基带信号,再经抽样判决,即可恢复出原始数字信号序列 {an}。2ASK 信号带宽为码元速率的2倍,即:B2ASK=2Rb ,式中Rb 为信息速率。

图11:2ASK 信号相干解调各点时间波形

5.2.2 2FSK 信号解调原理

2FSK 信号的解调也有相干解调和包络解调两种。由于2FSK 信号可看做是两 个2ASK 信号之和,所以2FSK 解调器由两个并联的2ASK 解调器组成。

图12: 2FSK 相干解调方式

2FSK 信号的解调原理是通过带通滤波器将2FSK 信号分解为上下两路2FSK 信 号后分别解调,然后进行抽样判决输出信号。本设计对信号2FSK 采用相干解调进行解调。设“1”符号对应载波f1,“0”符号对应载波f2。在原理图中采用两个带通滤波器来区分中心频率分别为f1和f2的信号。中心频率为f1的带通滤波器允许中心频率为f1的信号频谱成分通过,滤除中心频率为f2的信号频

谱成分,中心频率为f2的带通滤波器允许中心频率为f2的信号频谱成分通过,滤除中心频率为f1的信号频谱成分。其抽样判决是直接比较两路信号抽样值的大小,可以不专门设置门限。判决规制应与调制规制相呼应,本设计调制时规定“1”符号对应载波频率f1,则接收时上支路的抽样较大,应判为“1”,反之则判为“0”。

图13:2FSK信号相干解调各点时间波形

5.2.3 2PSK信号解调原理

2PSK信号的解调方法是相干解调法。由于PSK信号本身就是利用相位传递信息的,所以在接收端必须利用信号的相位信息来解调信号。下图2-3中给出了一种2PSK信号相干接收设备的原理框图。图中经过带通滤波的信号在相乘器中与本地载波相乘,然后用低通滤波器滤除高频分量,在进行抽样判决。判决器是按极性来判决的。即正抽样值判为1,负抽样值判为0.

2PSK信号相干解调各点时间波形如图14所示。当恢复的相干载波产生180°倒相时,解调出的数字基带信号将与发送的数字基带信号正好是相反,解调器输出数字基带信号全部出错。

图14:2PSK信号相干解调各点时间波形

这种现象通常称为"倒π"现象.由于在2PSK信号的载波恢复过程中存在着180°的相位模糊,所以2PSK信号的相干解调存在随机的"倒π"现象,从而使得2PSK方式在实际中很少采用。

5.2.4 2DPSK信号解调原理

2DPSK信号可以采用相干解调法(极性比较法)和差分相干解调法(相位比较法)。一般采用相干解调法,图14为相干解调法,其解调原理是:先对2DPSK 信号进行相干解调,恢复出相对码,再通过码反变换器变换为绝对码,从而恢复出发送的二进制数字信息。在解调过程中,若相干载波产生180o相位模糊,解调出的相对码将产生倒置现象,但是经过码反变换器后,输出的绝对码不会发生任何倒置现象,从而解决了载波相位模糊的问题。

图15:2DPSK相干解调法的原理框图

图16:2DPSK 各点时间波形图

5.3二进制数字信号的功率谱密度

5.3.1 2ASK 信号的功率谱密度

若二进制基带信号s(t)的功率谱密度Ps( f ) 为

则二进制振幅键控信号的功率谱密度)(2f P ASK 为

整理后可得

式中用到1,2P =

1s s

f T =。 二进制振幅键控信号的功率谱密度如图17所示,由离散谱和连续谱两部分组成。续谱两部分组成。离散谱由载波分量确定,连续谱由基带信号波形g(t)确

定,二进制振幅键控信号的带宽 B2AS 是基带信号波形带宽B 的两倍,即

B2ASK=2B

图17: 2ASK 信号的功率谱密度

5.3.2 2FSK 信号的功率谱密度

相位不连续的二进制移频键控信号的功率谱密度可以近似表示成两个不 同载波的二进制振幅键控信号功率谱密度的叠加。

其中s1(t) 和s2(t)为两路二进制数字基带信号

∑-=n

S n nT t g a t s )

()(1

∑-=n S n nT t g a t s )

()(2

)]

()([41

)]()([41)(222211112f f P f f P f f P f f P f P s s s s FSK ++-+++-=

令概率1

2

P =,将二进制数字基带信号的功率谱密度公式带入上式可得

由式可得,相位不连续的二进制移频键控信号的功率谱由离散谱和连续谱所组成,其中,离散谱位于两个载频 f1和 f2处;连续谱由两个中心位于 f1和 f2处的双边谱叠加形成;若两个载波频差小于 fs ,则连续谱在 fc 处出现单峰;若载频差大于 fs ,则连续谱出现双峰。若以二进制移频键控信号功率谱第一个

零点之间的频率间隔计算二进制移频键控信号的带宽,则该二进制移频键控信号的带宽B2FSK 为

s FSK f f f B 2||122+-=

其中

S s T f 1

=

图18 :相位不连续二进制频移键控信号的功率谱

5.3.3 2PSK 及 2DPSK 信号的功率谱密度

2PSK 与 2DPSK 信号有相同的功率谱。由式(2.1-9)可知,2PSK 信号可表示为双极性不归零二进制基带信号与正弦载波相乘,则 2PSK 信号的功率谱为

)]

()([41

2c S c S PSK f f P f f P P -++=

代入基带信号功率谱密度可得

若二进制基带信号采用矩形脉冲,且P =1/2 时,则 2PSK 信号的功率谱简化为

由两式可以看出,一般情况下二进制频移键控信号的功率谱密度由离散谱和连续谱组成,其结构与二进制振幅键控信号的功率谱密度相类似,带宽也是基带信号带宽的两倍。当二进制基带信号的“1”符号和“0”符号出现概率相等时,则不存在离散谱。2PSK 信号的功率谱密度如图19所示。

图19: 2PSK 信号的功率谱密度

六、运行程序过程中产生的问题及采取的措施

1.绘图线宽为“1”,基带信号就会有点失真,所以用“2”。

2.想要实现菜单的无限循环使用,最终还是没有实现。

3.因为载波是用的余弦,所以生成2ASK 的波形不是很美观,如果采用正弦波会比较美观,介于老师要求,所以没有做改动。

4.所以基带信号和调制后相应的波形不够直观的表现出来,在图中加了网格线。

5.在课程设计的过程当中,有同学问我如何进行加噪处理,加噪实验代码是y=awgn(x,5,'measured','dB');在信号x 中加入5dB 高斯白噪声,在加入噪声之前测定信号强度。老师给我的实验要求中没有提到,所以没加。

6.我全程使用的是matlab ,所以没有仿真电路图。

7.给出2DPSK 的相对码和绝对码之间的关系式。并考虑从相对码和绝对码两个角度分别如何得出2DPSK 的波形(具体要求中的4)

二进制移相键控2PSK 是利用载波相位的绝对数值来传送数字信息,也称为绝对移相。而2DPSK 则是利用相邻的码元之间的载波相位差来传送消息。 (1)相对码n b 的产生方法: 根据:1-?=n n n b b D ,得到1-?=n n n b D b

图20:绝对码变相对码的方框图

通信电子线路实验报告4

大连理工大学 本科实验报告 课程名称:通信电子线路实验 学院:电子信息与电气工程学部专业:电子信息工程 班级:电子0904 学号: 200901201 学生姓名:朱娅 2011年11月20日

实验四、调幅系统实验及模拟通话系统 一、实验目的 1.掌握调幅发射机、接收机的整机结构和组成原理,建立振幅调制与 解调的系统概念。 2.掌握系统联调的方法,培养解决实际问题的能力。 3.使用调幅实验系统进行模拟语音通话实验。 二、实验内容 1.实验内容及步骤,说明每一步骤线路的连接和波形 (一)调幅发射机组成与调试 (1)通过拨码开关S2 使高频振荡器成为晶体振荡器,产生稳定的等幅高频振荡,作为载波信号。拨码开关S3 全部开路,将拨码开关S4 中“3”置于“ON”。用示波器观察高频振荡器后一级的射随器缓冲输出,调整电位器VR5,使输出幅度为0.3V左右。将其加到由MC1496 构成的调幅器的载波输入端。 波形:此时示波器上,波形为一正弦波,f=10.000MHz,Vpp=0.3V。 (2)改变跳线,将低频调制信号(板上的正弦波低频信号发生器)接至模拟乘法器调幅电路的调制信号输入端,用示波器观察J19 波形,调VR9,使低频振荡器输出正弦信号的峰-峰值Vp-p 为0.1~0.2V. 波形:此时示波器上,波形为一正弦波,f=1.6kHz,Vpp=0.2V。 (3)观察调幅器输出,应为普通调幅波。可调整VR8、VR9 和VR11,

使输出的波形为普通的调幅波(含有载波,m 约为30%)。 (4)将普通的调幅波连接到前置放大器(末前级之前的高频信号缓冲器)输入端,观察到放大后的调幅波。 波形:前置放大后的一调幅波,包络形状与调制信号相似,频率特性为载波信号频率。f?=1.6kHz,Vpp=0.8V,m≈30%。 (5)调整前置放大器的增益,使其输出幅度1Vp-p 左右的不失真调幅波,并送入下一级高频功率放大电路中。 (6)高频功率放大器部分由两级组成,第一级是甲类功放作为激励级,第二级是丙类功放。给末级丙类功放加上+12V 电源,调节VR4 使J8(JF.OUT)输出6Vp-p左右不失真的放大信号,在丙类功放的输出端,可观察到经放大后的调幅波,改变电位器VR6 可改变丙类放大器的增益,调节CT2 可以看到LC 负载回路调谐时对输出波形的影响。 波形:此时示波器上为放大后的调幅波,f?=1.6kHz,Vpp=8V,m≈30%。 (二)调幅接收机的组成与调试 从GP-4 实验箱的系统电路图可以看出调幅接收机部分采用了二次变频电路,其中频频率分别为:第一中频6.455MHz,第二中频455kHz。由于该二次变频接收机的两个本机振荡器均采用了石英晶体振荡器,其中第一本振频率16.455MHz,第二本振频率6.000MHz,也就是说本振频率不可调。这样实验箱的调幅接收机可以接收的频率就因为第一本振频率不可调而被固定下来,即该机可以接收的已调波的中心频率应该为10.000MHz(第1本振频率-第1中频频率 = 16.455MHz - 6.455MHz =

数据通信原理实验报告

DONGFANG COLLEGE,FUJIAN AGRICULTURE AND FORESTRY UNIVERSITY 课程名称:数据通信原理 系别:计算机系 年级专业: 2010级电子信息工程 学号: 1050302103 姓名:廖少兵 任课教师:詹仕华成绩: 2012 年12 月25 日

实验项目列表 序号课程名称成绩指导教师 1 模拟信号源实验詹仕华 2 接收滤波器与功放实验詹仕华 3 基带信号的常见码型变换实验詹仕华 4 AMI/HDB3编译码实验詹仕华 5 FSK(ASK)调制解调实验詹仕华6 7 8 9 10 11 12 13 14 15 16 17 18 19 20

实验一模拟信号源实验 实验室名称:_______ 实验设备号:实验时间: 成绩: 模拟信号源实验 1、实验目的和要求 1.了解本模块中函数信号产生芯片的技术参数; 2.了解本模块在后续实验系统中的作用; 3.熟悉本模块产生的几种模拟信号的波形和参数调节方法。 2、实验原理 本模块主要功能是产生频率、幅度连续可调的正弦波、三角波、方波等函数信号(非同步函数信号),另外还提供与系统主时钟同源的2KHZ正弦波信号(同步正弦波信号)和模拟电话接口。在实验系统中,可利用它定性地观察通信话路的频率特性,同时用做PAM、PCM、ADPCM、CVSD( M)等实验的音频信号源。本模块位于底板的左边。 3、主要仪器设备 1.非同步函数信号、同步正弦波信号、模拟电话输入电路 2.时钟与基带数据发生模块,位号:G 3.频率计1台 4.20M双踪示波器1台 5.小电话单机1部 1.非同步函数信号(实物图片如下)

中南大学通信电子线路实验报告

中南大学 《通信电子线路》实验报告 学院信息科学与工程学院 题目调制与解调实验 学号 专业班级 姓名 指导教师

实验一振幅调制器 一、实验目的: 1.掌握用集成模拟乘法器实现全载波调幅和抑止载波双边带调幅的方法。 2.研究已调波与调制信号及载波信号的关系。 3.掌握调幅系数测量与计算的方法。 4.通过实验对比全载波调幅和抑止载波双边带调幅的波形。 二、实验内容: 1.调测模拟乘法器MC1496正常工作时的静态值。 2.实现全载波调幅,改变调幅度,观察波形变化并计算调幅度。 3.实现抑止载波的双边带调幅波。 三、基本原理 幅度调制就是载波的振幅(包络)受调制信号的控制作周期性的变化。变化的周期与调制信号周期相同。即振幅变化与调制信号的振幅成正比。通常称高频信号为载波信号。本实验中载波是由晶体振荡产生的10MHZ高频信号。1KHZ的低频信号为调制信号。振幅调制器即为产生调幅信号的装置。 在本实验中采用集成模拟乘法器MC1496来完成调幅作用,图2-1为1496芯片内部电路图,它是一个四象限模拟乘法器的基本电路,电路采用了两组差动对由V1-V4组成,以反极性方式相连接,而且两组差分对的恒流源又组成一对差分电路,即V5与V6,因此恒流源的控制电压可正可负,以此实现了四象限工作。D、V7、V8为差动放大器V5与V6的恒流源。进行调幅时,载波信号加在V1-V4的输入端,即引脚的⑧、⑩之间;调制信号加在差动放大器V5、V6的输入端,即引脚的①、④之间,②、③脚外接1KΩ电位器,以扩大调制信号动态范围,已调制信号取自双差动放大器的两集电极(即引出脚⑹、⑿之间)输出。

图2-1 MC1496内部电路图 用1496集成电路构成的调幅器电路图如图2-2所示,图中VR8用来调节引出脚①、④之间的平衡,VR7用来调节⑤脚的偏置。器件采用双电源供电方式(+12V,-9V),电阻R29、R30、R31、R32、R52为器件提供静态偏置电压,保证器件内部的各个晶体管工作在放大状态。 四、实验结果 1. ZD.OUT波形: 2. TZXH波形:

数字通信技术实验指导讲解

数字通信技术 实验指导书 电子与信息工程学院 2015年6月

实验一分组交织编码的MATLAB实现 1 实验目的 1、掌握分组交织编码的原理; 2、进一步学习Matlab软件的使用和编程; 3、提高独立设计实验的能力。 2 实验要求 1、课前预习实验,实验原理必须论述清楚; 2、实验报告中列出所有的Matlab源程序并解释代码; 3、实验结果(波形图)必须粘贴在实验报告中; 4、实验报告上写上自己的学号和姓名。 3 实验代码与结果 1、长度≤N的长突发错误通过解交织被离散为随机错误,错误码元之间的最小间隔为M。 s1=[1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24] x1=reshape(s1,4,6) %交织器的输入按列写入 x1(2,4)=0;x1(2,5)=0; x1(2,6)=0;x1(3,1)=0;x1(3,2)=0 %产生长度为5的长突发错误 s2=reshape(x1',1,24) %交织器的输出按行读出 x2=reshape(s2,6,4)' %解交织器的输入按行写入 s3=reshape(x2,1,24) %解交织器的输出按列读出 s3(1,3)=3;s3(1,7)=7; s3(1,14)=14;s3(1,18)=18;s3(1,22)=22 %通过分组码纠正随机错误 a=[s1,s2,s3] %对比三个输出 plot(s1,s2)

2、对于周期性的单个错误(间隔为N),通过解交织后会转化为长度为M的单个长突发错误。 s1=[1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24] x1=reshape(s1,4,6) x1(1,3)=0;x1(2,3)=0; x1(3,3)=0;x1(4,3)=0 s2=reshape(x1',1,24) x2=reshape(s2,6,4)' s3=reshape(x2,1,24) a=[s1,s2,s3] plot(s1,s2)

杭电通信系统课程设计报告实验报告

通信系统课程设计实验报告 XX:田昕煜 学号:13081405 班级:通信四班 班级号:13083414 基于FSK调制的PC机通信电路设计

一、目的、容与要求 目的: 掌握用FSK调制和解调实现数据通信的方法,掌握FSK调制和解调电路中相关模块的设计方法。初步体验从事通信产品研发的过程. 课程设计任务:设计并制作能实现全双工FSK调制解调器电路,掌握用Orcad Pspice、Protel99se进行系统设计及电路仿真。 要求:合理设计各个电路,尽量使仿真时的频率响应和其他参数达到设计要求。尽量选择符合标称值的元器件构成电路,正确完成电路调试。 二、总体方案设计 信号调制过程如下: 调制数据由信号发生器产生(电平为TTL,波特率不超过9600Baud),送入电平/幅度调整电路完成电平的变换,再经过锁相环(CD4046),产生两个频率信号分别为30kHz和40kHz(发“1”时产生30kHz方波,发“0”时产生40kHz方波),再经过低通滤波器2,变成平滑的正弦波,最后通过线圈实现单端到差分信号的转换。

信号的解调过程如下: 首先经过带通滤波器1,滤除带外噪声,实现信号的提取。在本设计中FSK 信号的解调方式是过零检测法。所以还要经过比较器使正弦信号变成方波,再经过微分、整流电路和低通滤波器1实现信号的解调,最后经过比较器使解调信号成为TTL电平。在示波器上会看到接收数据和发送数据是一致的。 各主要电路模块作用: 电平/幅度调整电路:完成TTL电平到VCO控制电压的调整; VCO电路:在控制电压作用下,产生30KHz和40KHz方波; 低通2:把30KHz、40KHz方波滤成正弦波; 线圈:完成单端信号和差分信号的相互转换; 带通1:对带外信号抑制,完成带信号的提取; 限放电路:正弦波整形成方波,同时保留了过零点的信息; 微分、整流、脉冲形成电路:完成信号过零点的提取; 低通1:提取基带信号,实现初步解调; 比较器:把初步解调后的信号转换成TTL电平 三、单元电路设计原理与仿真分析 (1)带通1(4阶带通)-- 接收滤波器(对带外信号抑制,完成带信号的提取) 要求通带:26KHz—46KHz,通带波动3dB; 阻带截止频率:fc=75KHz时,要求衰减大于10dB。经分析,二级四阶巴特沃斯带通滤波器来提取信号。 具体数值和电路见图1仿真结果见图2。

通信原理实验报告

中南大学 数字通信原理 实验报告 课程名称:数字通信原理实验 班级: 学号: 姓名: 指导教师:

实验一数字基带信号 一、实验目的 1、了解单极性码、双极性码、归零码、不归零码等基带信号波形特点。 2、掌握AMI、HDB3码的编码规则。 3、掌握从HDB3码信号中提取位同步信号的方法。 4、掌握集中插入帧同步码时分复用信号的帧结构特点。 5、了解HDB3(AMI)编译码集成电路CD22103。 二、实验内容 1、用示波器观察单极性非归零码(NRZ)、传号交替反转码(AMI)、三阶高密度双极性码(HDB3)、整流后的AMI码及整流后的HDB3码。 2、用示波器观察从HDB3码中和从AMI码中提取位同步信号的电路中有关波形。 3、用示波器观察HDB3、AMI译码输出波形。 三、实验步骤 本实验使用数字信源单元和HDB3编译码单元。 1、熟悉数字信源单元和HDB3编译码单元的工作原理。接好电源线,打开电源开关。 2、用示波器观察数字信源单元上的各种信号波形。 用信源单元的FS作为示波器的外同步信号,示波器探头的地端接在实验板任何位置的GND点均可,进行下列观察: (1)示波器的两个通道探头分别接信源单元的NRZ-OUT和BS-OUT,对照发光二极管的发光状态,判断数字信源单元是否已正常工作(1码对应的发光管亮,0码对应的发光管熄); (2)用开关K1产生代码×1110010(×为任意代码,1110010为7位帧同步码),K2、K3产生任意信息代码,观察本实验给定的集中插入帧同步码时分复用信号帧结构,和NRZ 码特点。 3、用示波器观察HDB3编译单元的各种波形。 仍用信源单元的FS信号作为示波器的外同步信号。 (1)示波器的两个探头CH1和CH2分别接信源单元的NRZ-OUT和HDB3单元的AMI-HDB3,将信源单元的K1、K2、K3每一位都置1,观察全1码对应的AMI码(开关K4置于左方AMI 端)波形和HDB3码(开关K4置于右方HDB3端)波形。再将K1、K2、K3置为全0,观察全0码对应的AMI码和HDB3码。观察时应注意AMI、HDB3码的码元都是占空比为0.5的双极性归零矩形脉冲。编码输出AMI-HDB3比信源输入NRZ-OUT延迟了4个码元。

通信电子线路Multisim仿真实验报告

通信电子线路实验报告Multisim调制电路仿真

目录 一、综述 .......................... 错误!未定义书签。 二、实验内容 ...................... 错误!未定义书签。 1.常规调幅AM ................... 错误!未定义书签。 (1)基本理论.................... 错误!未定义书签。 (2)Multisim电路仿真图 ........ 错误!未定义书签。 (3)结论: ...................... 错误!未定义书签。 2.双边带调制DSB ................ 错误!未定义书签。 (1)基本理论.................... 错误!未定义书签。 (2)Multisim电路仿真图 ........ 错误!未定义书签。 3.单边带调制SSB ................ 错误!未定义书签。 (1)工作原理.................... 错误!未定义书签。 (2)Multisim电路仿真图 ........ 错误!未定义书签。 4.调频电路FM ................... 错误!未定义书签。 (1)工作原理.................... 错误!未定义书签。 (2)Multisim电路仿真图 ........ 错误!未定义书签。 5.调相电路PM ................... 错误!未定义书签。 (1)工作原理.................... 错误!未定义书签。 (2)Multisim电路仿真图............ 错误!未定义书签。 三、实验感想 ...................... 错误!未定义书签。

数字通信系统设计实验报告

实验1:用 Verilog HDL 程序实现乘法器 1实验要求: (1) 编写乘法器的 Veirlog HDL 程序. (2) 编写配套的测试基准. (3) 通过 QuartusII 编译下载到目标 FPGA器件中进行验证 (4) 注意乘法逻辑电路的设计. 2 试验程序: Module multiplier(input rst,input clk,input [3:0]multiplicand, input [3:0]multiplier,input start_sig,output done_sig,output [7:0]result); reg [3:0]i; reg [7:0]r_result; reg r_done_sig; reg [7:0]intermediate; always @ ( posedge clk or negedge rst ) if( !rst ) begin i<=4'b0; r_result<=8'b0; end else if(start_sig) begin case(i) 0: begin intermediate<={4'b0,multiplicand}; r_result<=8'b0; i<=i+1; end 1,2,3,4: begin if(multiplier[i-1]) begin r_result<=r_result+intermediate; end intermediate<={intermediate[6:0],1'b0}; i<=i+1; end 5: begin r_done_sig<=1'b1;

i<=i+1; end 6: begin r_done_sig<=1'b0; i<=1'b0; end endcase end assign result=r_done_sig?r_result:8'bz; assign done_sig=r_done_sig; endmodule3 测试基准: `timescale 1 ps/ 1 ps module multiplier_simulation(); reg clk; reg rst; reg [3:0]multiplicand; reg [3:0]multiplier; reg start_sig; wire done_sig; wire [7:0]result; /***********************************/ initial begin rst = 0; #10; rst = 1; clk = 1; forever #10 clk = ~clk; end /***********************************/ multiplier U1 ( .clk(clk), .rst(rst), .multiplicand(multiplicand), .multiplier(multiplier), .result(result), .done_sig(done_sig), .start_sig(start_sig) ); reg [3:0]i; always @ ( posedge clk or negedge rst ) if( !rst )

网络与数据通信实验报告

网络与数据通信实验报告 指导老师:李艳 姓名:胡嘉懿(1110200302) 周敏(1110200311)

实验1 网络协议分析Ethereal 1.ARP帧解析 ·帧1(线路上传输60字节,俘获60字节) 到达时间:2004年5月7日00:35:13.802398000 与上一帧的时间差:0.000000000秒 与第一帧的时间差:0.000000000秒 帧序号:1 数据包长度:60字节 俘获长度:60字节 ·以太网Ⅱ,源地址:00:0d:87:f8:4c:f9,目的地址:ff:ff:ff:ff:ff:ff(MAC地址) 目的地址:ff:ff:ff:ff:ff:ff(广播) 源地址:00:0d:87:f8:4c:f9(192.168.0.44) 类型:地址转换协议ARP(Ox0806) 尾部:000000000

·地址转换协议 ·硬件类型(Hardware type):16位,定义ARP实现在何种类型的网络上,以太网的硬件类型值为Ox0001,图中为以太网Ox0001 ·协议类型(Protocol type):16位,定义使用ARP/RARP的协议类型,IPv4类型值为Ox0800,图中为IP Ox0800 ·硬件地址长度(Hardware size):1字节,以字节为单位定义物理地址的长度,图中为6 ·协议地址长度(Protocol size):1字节,以字节为单位定义协议地址的长度,图中为4 ·操作类型(Opcode):16位,定义报文类型,1为ARP请求,2为ARP应答,3为RARP 请求,4为RARP应答,图中为请求(Ox0001) ·发送方MAC地址(Sender MAC address):6字节,发送方的MAC地址,图中为00:0d:87:f8:4c:f9 ·发送方IP地址(Sender IP address):4字节,发送方的IP地址,RARP请求中不填此字段图中为192.168.0.44 ·目的MAC地址(Target MAC address):6字节,ARP请求中不填此字段(待解析),图中为00:00:00:00:00:00 ·目的协议地址(Target IP address):4字节,长度取决于协议地址长度,长度一共28字节,图中为192.168.80.1

通信电子线路实物实验报告

东南大学电工电子实验中心 实验报告 课程名称:电子电路与综合实验 第一次实物实验 院(系):信息科学与工程学院专业:信息工程姓名:陈金炜学号:04013130 实验室:高频实验室实验组别: 同组人员:陈秦郭子衡邹俊昊实验时间:2015年11月21日评定成绩:审阅教师:

实验一常用仪器使用 一、实验目的 1. 通过实验掌握常用示波器、信号源和频谱仪等仪器的使用,并理解常用仪器的基本工作 原理; 2.通过实验掌握振幅调制、频率调制的基本概念。 二、实验仪器 示波器(带宽大于 100MHz) 1台 万用表 1台 双路直流稳压电源 1台 信号发生器 1台 频谱仪 1台 多功能实验箱 1 套 多功能智能测试仪1 台 三、实验内容 1、说明频谱仪的主要工作原理,示波器测量精度与示波器带宽、与被测信号频率之间关系。 答: (1)频谱仪结构框图为: 频谱仪的主要工作原理: ①对信号进行时域的采集,对其进行傅里叶变换,将其转换成频域信号。这种方法对于AD 要求很高,但还是难以分析高频信号。

②通过直接接收,称为超外差接收直接扫描调谐分析仪。即:信号通过混频器与本振混频后得到中频,采用固定中频的办法,并使本振在信号可能的频谱范围内变化。得到中频后进行滤波和检波,就可以获取信号中某一频率分量的大小。 (2)示波器的测量精度与示波器带宽、被测信号频率之间的关系: 示波器的带宽越宽,在通带内的衰减就越缓慢; 示波器带宽越宽,被测信号频率离示波器通带截止频率点就越远,则测得的数据精度约高。 2、画出示波器测量电源上电时间示意图,说明示波器可以捕获电源上电上升时间的工作原理。 答: 上电时间示意图: 工作原理: 捕获这个过程需要示波器采样周期小于过渡时间。示波器探头与电源相连,使示波器工作于“正常”触发方式,接通电源后,便有电信号进入示波器,由于示波器为“正常”触发方式,所以在屏幕上会显示出电势波形;并且当上电完成后,由于没有触发信号,示波器将不再显示此信号。这样,就可以利用游标读出电源上电的上升时间。 3、简要说明在FM 调制过程中,调制信号的幅度与频率信息是如何加到FM 波中的? 答: 载波的瞬时角频率为()()c f t k u t ωωΩ=+,(其中f k 为与电路有关的调频比例常数) 已调的瞬时相角为00 t ()()t t c f t dt t k u t dt θωωθΩ =++? ?()= 所以FM 已调波的表达式为:000 ()cos[()]t om c f u t U t k u t dt ωθΩ =++? 当()cos m u t U t ΩΩ=Ω时,00()cos[sin ]om c f u t U t M t ωθ=+Ω+ 其中f M 为调制指数其值与调制信号的幅度m U Ω成正比,与调制信号的角频率Ω反比,即 m f f U M k Ω=Ω 。这样,调制信号的幅度与频率信息是已加到 FM 波中。

通信综合实训系统实验报告

. 通信综合实训系统实验 (程控交换系统实验) 学生姓名 学号 专业班级通信工程班 指导老师 年月日

实验1 局内呼叫处理实验 一、实验目的 1.通过对模拟用户的呼叫追踪,加深对程控交换机呼叫处理过程的理解; 2.掌握程控交换机配置数据的意义及原理; 3.根据设计要求,完成对程控交换机本局数据的配置。 二、实验内容 1.学习ZXJ10程控交换机本局数据配置方法; 2.模拟用户动态跟踪,深入分析交换机呼叫流程; 3.按照实验指导书的步骤配置本局数据,电话号码7000000~7000023分配到ASLC板 卡的0~23端口,并用7000000拨打7000001电话,按照实验指导书方法创建模拟用户呼叫跟踪,观察呼叫动态迁移,理解单模块呼叫流程。 4.本局数据配置需要配置如下: 局信息配置 局容量数据配置 交换局配置 物理配置 号码管理、号码分析 三、实验仪器 程控交换机1套 维护终端若干 电话机若干 四、实验步骤 (一)、启动后台维护控制中心 启动程控交换机网管终端计算机,点击桌面快捷方式的,启动后的维护控制中心如下图2-1(利用众友开发软件CCTS可省略该步骤): (二)、启动操作维护台 选中后台维护系统控制中心,单击右键,选中【启动操作维护平台】,出现如下的对话框,输入操作员名【SYSTEM】,口令为空,单击【确定】后,将会登陆操作维护系统。

(三)、告警局配置 打开“系统维护(C)”----“告警局配置(B)”,点击“局信息配置(B)”后,弹出如下界面。 输入该局的区号532,局号1,然后点击【写库】。 (四)、局容量数据配置 打开【基本数据管理】-【局容量数据配置】,点击后弹出如下操作界面(分别进行全局容量、各模块容量进行规划设置),点击【全局规划】,出现如下的对话框. 点击【全部使用建议值】,当前值自动填上系统默认的数值,点击【确定】后返回容量规划界面,点击【增加】, 模块号2,MP内存128,普通外围、远端交换模块,填写完,点击【全部使用建议值】。 (五)、交换局配置 在后台维护系统打开[数据管理→基本数据管理→交换局配置]弹出如下的对话框,按照图示,只填写【本交换局】-【交换局配置数据】,点击设置。 (六)、物理配置 在后台维护系统打开[数据管理→基本数据管理→物理配置]:

通信原理 数字基带传输实验报告

基带传输系统实验报告 一、 实验目的 1、 提高独立学习的能力; 2、 培养发现问题、解决问题和分析问题的能力; 3、 学习matlab 的使用; 4、 掌握基带数字传输系统的仿真方法; 5、 熟悉基带传输系统的基本结构; 6、 掌握带限信道的仿真以及性能分析; 7、 通过观察眼图和星座图判断信号的传输质量。 二、 实验原理 在数字通信中,有些场合可以不经载波调制和解调过程而直接传输基带信号,这种直接传输基带信号的系统称为基带传输系统。 基带传输系统方框图如下: 基带脉冲输入 噪声 基带传输系统模型如下: 信道信号 形成器 信道 接收 滤波器 抽样 判决器 同步 提取 基带脉冲

各方框的功能如下: (1)信道信号形成器(发送滤波器):产生适合于信道传输的基带信号波形。因为其输入一般是经过码型编码器产生的传输码,相应的基本波形通常是矩形脉 冲,其频谱很宽,不利于传输。发送滤波器用于压缩输入信号频带,把传输 码变换成适宜于信道传输的基带信号波形。 (2)信道:是基带信号传输的媒介,通常为有限信道,如双绞线、同轴电缆等。信道的传输特性一般不满足无失真传输条件,因此会引起传输波形的失真。另 外信道还会引入噪声n(t),一般认为它是均值为零的高斯白噪声。 (3)接收滤波器:接受信号,尽可能滤除信道噪声和其他干扰,对信道特性进行均衡,使输出的基带波形有利于抽样判决。 (4)抽样判决器:在传输特性不理想及噪声背景下,在规定时刻(由位定时脉冲控制)对接收滤波器的输出波形进行抽样判决,以恢复或再生基带信号。 (5)定时脉冲和同步提取:用来抽样的位定时脉冲依靠同步提取电路从接收信号中提取。 三、实验内容 1采用窗函数法和频率抽样法设计线性相位的升余弦滚讲的基带系统(不调用滤波器设计函数,自己编写程序) 设滤波器长度为N=31,时域抽样频率错误!未找到引用源。o为4 /Ts,滚降系数分别取为0.1、0.5、1, (1)如果采用非匹配滤波器形式设计升余弦滚降的基带系统,计算并画出此发送滤波器的时域波形和频率特性,计算第一零点带宽和第一旁瓣衰减。 (2)如果采用匹配滤波器形式设计升余弦滚降的基带系统,计算并画出此发送滤波器的时域波形和频率特性,计算第一零点带宽和第一旁瓣衰减。 (1)非匹配滤波器 窗函数法: 子函数程序: function[Hf,hn,Hw,w]=umfw(N,Ts,a)

通信电子线路实验报告三点式振荡

通信电了线路课程设计 课程名称通信电子线路课程设计_________________ 专业___________________ 通信工程 ______________________ 班级___________________________________________ 学号___________________________________________ 姓名___________________________________________

指导教师________________________________________ 、八 刖 现代通信的主要任务就是迅速而准确的传输信息。随着通信技术的日益发展,组成通信系统的电子线路不断更新,其应用十分广泛。实现通信的方式和手段很多,通信电子线路主要利用电磁波传递信息的无线通信系统。 在本课程设计中,着眼于无线电通信的基础电路一一LC正弦振荡器的分析和研究。常用正弦波振荡器主要由决定振荡频率的选频网络和维持振荡的正反馈放大器组成,这就是反馈振荡器。按照选频网络所采用元件的不同,正弦波振荡器可分为LC振荡器、RC振荡器和晶体振荡器等类型。其中LC振荡器和晶体振荡器用于产生高频正弦波。正反馈放大器既可以由晶体管、场效应管等分立器件组成,也可由集成电路组成。LC振荡器中除了有互感耦合反馈型振荡器之外,其最基本的就是三端式(又称三点式)的振荡器。而三点式的振荡器中又有电容三点式振荡器和电感三点式振荡器这两种基本类型。 反馈振荡器是一种常用的正弦波振荡器,主要由决定振荡频率的选频网络和维持振荡的正反馈放大器组成。按照选频网络所采用元件的不同,正弦波振荡器可分为LC振荡器、RC振荡器和晶体振荡器等类型。本文介绍了高频电感三点式振荡器电路的原理及设计,电感三点式易起振,调整频率方便,可以通过改变电容调整频率而不影响反馈系数。正弦波振荡器在各种电子设备中有着广泛的应用。根据所产生的波形不同,可将振荡器分成正弦波振荡器和非正弦波振荡器两大类。前者能产生正弦波,后者能产生矩形波、三角波、锯齿波等。 在此次的通信电子线路课程设计中,我选做的是电感三点式振荡设计,通过为时一周的上机实验,我学到了很多书本之外的知识,在老师的指导下达到实验设计的要求指

通信技术实训报告

南昌工程学院 《通信技术》实训报告 系院信息工程学院 专业通信工程 班级 学生姓名 学号 实习地点 指导教师 实习起止时间:2014 年 6 月9 日至2014 年6 月20 日

目录 一、实训时间 (3) 二、实训地点 (3) 三、实训目的 (3) 四、实训情况简介 (3) 五、实训内容 (4) 六、实训小结或体会 (10)

一、实训时间:从2014 年6 月9 日至2014年6 月20 日 二、实训地点: 三、实训目的 通过本实训了解2M业务在点对点组网方式时候的配置。通过本实训了解2M业务在链型组网方式时候的配置。通过本实训了解2M业务在环形组网方式时候的配置。通过本次实训了解MGW及MSCS数据配置。 SDH技术的诞生有其必然性,随着通信的发展,要求传送的信息不仅是话音,还有文字、数据、图像SDH技术和视频等。加之数字通信和计算机技术的发展,在70至80年代,陆续出现了T1(DS1)/E1载波系统(1.544/2.048Mbps)、X.25帧中继、ISDN(综合业务数字网) 和FDDI(光纤分布式数据接口)等多种网络技术。随着信息社会的到来,人们希望现代信息传输网络能快速、经济、有效地提供各种电路和业务,而上述网络技术由于其业务的单调性,扩展的复杂性,带宽的局限性,仅在原有框架内修改或完善已无济于事。SDH就是在这种背景下发展起来的。在各种宽带光纤接入网技术中,采用了SDH技术的接入网系统是应用最普遍的。SDH的诞生解决了由于入户媒质的带宽限制而跟不上骨干网和用户业务需求的发展,而产生了用户与核心网之间的接入"瓶颈"的问题,同时提高了传输网上大量带宽的利用率。SDH技术自从90年代引入以来,至今已经是一种成熟、标准的技术,在骨干网中被广泛采用,且价格越来越低,在接入网中应用可以将SDH技术在核心网中的巨大带宽优势和技术优势带入接入网领域,充分利用SDH 同步复用、标准化的光接口、强大的网管能力、灵活网络拓扑能力和高可靠性带来好处,在接入网的建设发展中长期受益。我们了解SDH的光传输及MGW及MSCS数据配置对我们加深理论知识理解有帮助。 四、实训情况简介 经学校安排, 于2014年6月9号至2014年6月20号期间在电子信息楼B404进行了实训,实训工作内容为SDH点对点组网配置实验,SDH链型组网配置实验,SDH环形组网配置实验以及MGW和MSCS数据配置实验。现总结如下: 实验进行了两周,第一周是移动数据配置,第二周是光传输实验,每次老师先给我们讲解原理,还有注意事项等,我了解了原理之后按照指导书在配置环境下按指导书配置。配置

数据通信实验报告

电子科技大学 实验报告 实验一 NS2的基础使用 NS2是一种提供虚拟环境进行网络模拟仿真,能验证网络性能的正确性和进行相关性能测试的软件。 一、实验环境: Ubuntu 12.04/kernel 3.5 GCC 4.6.3 NS-2.33 二、网络拓扑: 实验共有6个节点,每个节点的连接情况如图所示。其中节点N0、N2和节点N1、N2连接,N3、N4和N4、N5连接,N2和N3连接。从0号节点到2号节点,带宽为2Mb,延时为10ms。 三、实践步骤: 切换到用户根目录下cd ~ 建立自己的文件夹mkdir your_document_name 进入刚刚新建的文件夹cd your_document_name 新建一个TCL 脚本文件gedit your_TCL_file_name.tcl #Here is the beginning of this code file set val(stop) 5.0 ;# 模拟器结束时间 #新建一个NS 模拟对象 set ns [new Simulator] #打开NS 追踪文件 set tracefile [open out.tr w] $ns trace-all $tracefile

#打开NAM 追踪文件 set namfile [open out.nam w] $ns namtrace-all $namfile #新建6 个节点 set n0 [$ns node] set n1 [$ns node] set n2 [$ns node] set n3 [$ns node] set n4 [$ns node] set n5 [$ns node] #建立节点之间的链路,格式解释如下 #duplex-link 双向链路可选选项(duplex-link,simple-link 单向链路) #$n0 $n2 表明从0 号节点到2 号节点 #2.0Mb 申明链路传输速率,可使用Mb,Kb,b #10ms 申明链路传输延迟 #DropTail 队列类型 $ns duplex-link $n0 $n2 2.0Mb 10ms DropTail #申明链路队列长度 $ns queue-limit $n0 $n2 10 $ns duplex-link $n1 $n2 2.0Mb 10ms DropTail $ns queue-limit $n1 $n2 10 $ns duplex-link $n4 $n3 2.0Mb 10ms DropTail $ns queue-limit $n4 $n3 10 $ns duplex-link $n3 $n2 1.0Mb 20ms DropTail $ns queue-limit $n3 $n2 10 $ns duplex-link $n3 $n5 2.0Mb 10ms DropTail $ns queue-limit $n3 $n5 10 #为NAM 创建节点位置描述,以第一个为例,2 号节点在0 号节点的右下方 $ns duplex-link-op $n0 $n2 orient right-down $ns duplex-link-op $n1 $n2 orient right-up $ns duplex-link-op $n4 $n3 orient left-down $ns duplex-link-op $n3 $n2 orient left $ns duplex-link-op $n3 $n5 orient right-down #新建一个UDP 连接 set udp0 [new Agent/UDP] #将0 号节点Agent/UDP 绑定,以下类似 $ns attach-agent $n0 $udp0 set null2 [new Agent/Null] $ns attach-agent $n4 $null2 #将Agent/UDP 及Agent/Null 节点进行连接 $ns connect $udp0 $null2 #设置Agent/UDP 包大小

数字通信原理实验报告

《数字通信原理与技术》实验报告 学院:江苏城市职业学院 专业:计算机科学与技术 班级: 姓名:___________ 学号: ________

实验一熟悉MATLAB环境 一、实验目的 (1)熟悉MATLAB的主要操作命令。 (2)掌握简单的绘图命令。 (3)用MATLAB编程并学会创建函数。 (4)观察离散系统的频率响应。 二、实验内容 (1)数组的加、减、乘、除和乘方运算。输入A=【1 2 3 4】,B=【3 4 5 6】,求C=A+B,D=A-B,E=A.*B,F=A./B,G=A.^B并用stem语句画出A、B、C、D、E、F、G。 (2)用MATLAB实现下列序列: a)x(n)=0.8n 0≦n≦15 b)x(n)=e(0.2+0.3j) 0≦n≦15 c)x(n)=3cos(0.125πn+0.2π)+0.2sin(0.25πn+0.1π) 0≦n≦15 d) 将c)中的x(n)扩展成以16为周期的函数x16(n)=x(n+16),绘出四个周期。 e) 将c)中的x(n)扩展成以10为周期的函数x10(n)=x(n+10),绘出四个周期。 (3) 绘出下列时间函数图形,对x轴、y轴以及图形上方均须加上适当的标注: a)x (t )=sin(2πt) 0≦n≦10s b) x (t)=cos(100πt)sin(πt) 0≦n≦14s 三、程序和实验结果 (1)实验结果: 1、A=[1,2,3,4] B=[3,4,5,6] C=A+B D=A-B E=A.*B F=A./B G=A.^B A =1 2 3 4 B =3 4 5 6 C =4 6 8 10 D =-2 -2 -2 -2 E =3 8 15 24 F =0.3333 0.5000 0.6000 0.6667 G =1 16 243 4096 >> stem(A) >> stem(B) >> stem(C) >> stem(D) >> stem(E) >> stem(F)

通信电子线路实验报告解析

LC与晶体振荡器 实验报告 班别:信息xxx班 组员: 指导老师:xxx

一、实验目的 1)、了解电容三点式振荡器和晶体振荡器的基本电路及其工作原理。 2)、比较静态工作点和动态工作点,了解工作点对振荡波形的影响。 3)、测量振荡器的反馈系数、波段复盖系数、频率稳定度等参数。 4)、比较LC 与晶体振荡器的频率稳定度。 二、实验预习要求 实验前,预习教材:“电子线路非线性部分”第3章:正弦波振荡器;“高频电子线路”第四章:正弦波振荡器的有关章节。 三、实验原理说明 三点式振荡器包括电感三点式振荡器(哈脱莱振荡器)和电容三点式振荡器(考毕兹振荡器),其交流等效电路如图1-1。 1、起振条件 1)、相位平衡条件:X ce 和X be 必 需为同性质的电抗,X cb 必需为异性质 的电抗,且它们之间满足下列关系: 2)、幅度起振条件: 图1-1 三点式振荡器 式中:q m ——晶体管的跨导, F U ——反馈系数, A U ——放大器的增益, LC X X X X Xc o C L ce be 1 |||| )(= -=+-=ω,即)(Au 1 * 'ie L oe m q q q Fu q ++ >

q ie——晶体管的输入电导, q oe——晶体管的输出电导, q'L——晶体管的等效负载电导, F U一般在0.1~0.5之间取值。 2、电容三点式振荡器 1)、电容反馈三点式电路——考毕兹振荡器 图1-2是基本的三点式电路,其缺点是晶体管的输入电容C i和输出电容Co对频率稳定度的影响较大,且频率不可调。 L1L1 (a)考毕兹振荡器(b)交流等效电路 图1-2 考毕兹振荡器 2)、串联改进型电容反馈三点式电路——克拉泼振荡器 电路如图1-3所示,其特点是在L支路中串入一个可调的小电容C3,并加大C1和C2的容量,振荡频率主要由C3和L决定。C1和C2主要起电容分压反馈作用,从而大大减小了C i和C o对频率稳定度的影响,且使频率可调。

无线传感器数据通信实验报告

实验二:无线传感器数据通信实验 一、实验目的: 在无线传感器节点的单片机驱动代码的基础上,编写无线通信程序,实现多个传感器节点之间的双向数据传输。 二、实验原理: 温湿度传感器和单片机采用的是类似IIC的串行通信,和单片机相连如图1-8所示 VDD和GND是传感器供电引脚。 SCK是时钟引脚,在通信这个过程中,SCK信号都是有单片机控制的。 DATA是数据线,和单片机IO口相连。用于向传感器发送指令和从单片机读走数据。数据在时钟的上升沿生效在时钟是高电平时保持不变。在时钟是低电平时准备数据。接口如图所示。 图1-8 温湿度接口 单片机先向传感器发送开始命令,然后开始通信,开始命令时序图如图所示。在SCK高电平时,将数据线拉低,在下一个时钟高电平期间,将数据线拉高。开始信号发送完毕。 图1-9 开始信号

再开始信号后是命令信号,命令信号包含3位地址位(只支持000)和5位命令位组成。传感器在接收到1byte数据时(即第八个时钟下降沿)将数据线拉低,表示数据正确接收。在九个下降沿被释放。命令如下表。 单片机在发送完命令信号后,等待温湿度传感器测量完成。传感器在转换完成后将数据线拉低。单片机产生时钟信号,从温湿度传感器读数据。数据包含2bytes的测量结果和1bytes的校验。单片机在收到每字节数据都要将数据线拉低给出应答信号。数据高位在前,低位在后。传感器在测量结束和通信完毕后自动进入休眠。具体操作见数据手册。时序图如图1-10所示。 图1-10 传感器工作时序图 8、 计算公式 温度计算公式:

湿度计算公式: 三、实验设备: ZIGBEE无线空气温湿度传感器一个、装有实验软件的计算机。 四、实验内容: 1、建立开发工程; 用MDK打开工程模板。 2、把similar_i2C.c和similar_i2C.h加入到工程。 将similar_i2c文件夹拷贝到工程模板目录中。 调用similar_i2C.c中函数,编写温度采集和湿度采集代码 在工程模板根目录下建立sht1xx_sensor,在里面新建sht1xx.c和sht1xx.h空文件,将c加入到工程,将h文件加入到工程设置中编写main()调用温度采集和湿度采集函数,并对原始数据进行处理。 在原有的程序的基础上,添加代码使程序能够根据数据计算出湿度,并显示出来。

相关文档