文档库 最新最全的文档下载
当前位置:文档库 › 四人抢答器plc课程设计

四人抢答器plc课程设计

四人抢答器plc课程设计
四人抢答器plc课程设计

课程设计说明书

题目名称:四组抢答器plc课程设计

系部:机械工程系

专业班级:机械化13-1班

学生姓名:

学号:2013233

指导教师:全瑞琴

完成日期:2017年1月8号

新疆工程学院

课程设计评定意见

设计题目四组抢答器plc课程设计

系部机械工程系专业班级机械化13-1班学生姓名学生学号2013233

评定意见:

评定成绩:

指导教师(签名):年月日

(此页背书)

评定意见参考提纲:

1、学生完成的工作量与内容是否符合任务书的要求。

2、学生的勤勉态度。

3、设计或说明书的优缺点,包括:学生对理论知识的掌握程度、实践工作能力、表现出的创造性和综合应用能力等。

新疆工程学院

机械工程系系(部)课程设计任务书

2015-2016 学年第一学期2016 年 1 月10日

教研室主任(签名)系(部)主任(签名)

摘要

随着微处理器、计算机和数字通讯技术的飞速发展,计算机控制已扩展到了所有控制领域。而实用抢答器的这一产品是各种竞赛活动中不可缺少的设备,无论是学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有的各种各样的智力竞赛抢答器绝大多数是早期设计的,本设计要求就是利用PLC作为核心部件进行逻辑控制及信号的产生,用PLC本身的优势使竞赛真正达到公正、公平、公开。设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先选择这个题目之后我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路然后仔细分析PLC控制的四路智力抢答器的工作原理以及它的一些工作过程分析后得

出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要9个,输入端口需要6个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点因此我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活维护使用方便等特点。

关键词: 可编程控制器抢答器 PLC 模拟电路数字电路

目录

摘要 (5)

引言 (1)

第一章PLC抢答器概述 (2)

1.1 抢答器的组成 (2)

1.2 PLC智能抢答器的工作原理 (2)

1.3 系统控制要求及设计要求 (3)

1.3.1系统控制要求: (3)

1.3.2设计要求: (3)

第二章 PLC概述 (4)

2.1 PLC的产生 (4)

2.2 PLC的定义 (4)

2.3 PLC基本结构 (5)

2.4 PLC的编程语言 (6)

2.5 PLC的特点 (6)

2.5.2 PLC的特点 (6)

2.5.2 PLC的发展趋势 (6)

2.6 PLC工作原理 (7)

2.7 PLC的编程语言 (7)

2.8 PLC的性能指标 (8)

第三章系统硬件设计 (9)

3.1 控制系统 (9)

3.2 控制系统硬件组成 (10)

3.3 控制系统I/O分配表 (11)

3.4 系统硬件连接图 (11)

第四章程序设计 (13)

4.1整体设计 (13)

4.2程序设计 (14)

4.3语句表 (16)

4.4 软件程序调试 (18)

致谢 (22)

参考文献 (23)

引言

对于科技飞速发展的今天,PLC、单片机应用的不断深入,带动了传统控制检测技术的不断更新,并鉴于其本身具有的优点,以PLC、单片机为核心的部件成为主流。

传统的普通抢答器主要存在一下缺点:

(1) 在一次抢答过程中,当出现超前违规抢答时,只能处理违规抢答信号,而对没有违规的有效信号不能进行处理,因而使该次抢答过程变为无效。

(2) 当有多个违规抢答时,优先编码电路只能选择其中一个,或利用抢答电路电子元件的“竞争”选择其中一个。对于后者由于抢答电路制作完毕后电子元件被固定,各路抢答信号的“竞争”能力也被固定,因而本质上也有优先权。普通抢答器存在不公平性。

(3) 当有多个违规抢答时,普通抢答器只能“抓住”其中一个违规者。因而出现了“漏洞”.现在大多抢答器都是以PLC、单片机为控制核心的智能抢答器,它对采样获得的各种抢答信号进行分析。但仅有抢答功能的抢答器已经不能满足当今社会的需要,因此该设计采用基于PLC控制来设计四路抢答器。

第一章PLC抢答器概述

1.1 抢答器的组成

抢答器主要由指示灯、优先编码电路、锁存电路、控制开关、时序控制电路、定时电路组成。其构成框图如图(1-1)

图1-1抢答器构成框图

1.2 PLC智能抢答器的工作原理

我所设计的PLC智能抢答器是适合四个人抢答的四路抢答器,现在以四路抢答器为例。给竞赛主持人设置了2个控制按钮,用来控制开始、复位,每当主持人发出开始抢答指令后,那组选手最先按下抢答器按钮,则数码管就显示该组的编号,同时绿色指示灯亮,音响电路发出声响提示信号(持续三秒)以指示抢答成功,并对其后的抢答信号不在相应,选手答题完毕后,由主持人按下复位按钮,系统开始下一轮抢答。若选手在未开始抢答时提前抢答了,则视为违规,违规时数码管显示其编号同时红灯亮音响电路发出声响。其中以上功能都通过编制的PLC

程序来控1个制数码管和3个指示灯以及2个喇叭来实现。如图1-2所示:1.3 系统控制要求及设计要求

1.3.1系统控制要求:

1、参赛者只有在主持人按下开始抢答按钮后再开始抢答才有效,否则提前按抢答按钮或一直按住抢答按钮不放,均不起作用。

2、参赛者在允许抢答时,第一个按下抢答按钮的抢答席上的指示灯将会亮,且扬声器响一声,在释放抢答按钮后,指示灯仍然亮,其他组均被封锁。这样主持人就可以轻易地知道谁是第一个按下抢答器的。

3、在主持人宣布抢答开始后10 s内无抢答者,该题作废。

4、每道答题在规定的时间内(30 s)完成,超时则自动取消答题资格。

5、答题完毕后,主持人按下主持人席上的复位按钮(释放按钮),则指示灯熄灭,为下一题的抢答做准备。

1.3.2设计要求:

(1)根据控制要求,进行抢答器PLC控制系统硬件电路设计,包括主电路、控制电路及PLC硬件配置电路。

(2)根据控制要求,编制抢答器PLC控制应用程序。

(3)编写设计说明书,内容包括:

①设计过程和有关说明。

②基于PLC的抢答器电气控制系统电路图。

③PLC控制程序。

④电器元器件的选择和有关计算。

⑤电气设备明细表。

⑥参考资料、参考书及参考手册。

⑦其他需要说明的问题,挒如操作说明书、程序的调用过程、遇到的问题及解决方发、对课程设计的认识和建议等。

第二章 PLC概述

2.1 PLC的产生

可编程序控制器(Programmable Controller,简称PLC),是在继电器控制的基础上开发出来的。1968年,美国最大的汽车制造商——通用汽车公司为了适应汽车型号不断更新变化所带来的生产工艺的不断变化,想寻求另一种全新的控制方式。设想用这样一种控制装置,它既应该具有计算机控制的功能性、灵活性、通用性,同时又应具有继电器控制方式的简单性、操作方便性。对这种装置的具体要求体现在通用的招标书中;

即:

(1)编程简单可在现场修改程序。

(2)维护方便,采用插件式结构。

(3)可靠性高于继电器控制柜。

(4)体积小于继电器控制柜。

(5)可将数据直接送入管理计算机。

(6)成本可与继电器控制柜竞争。

(7)可接115V交流输入。

(8)输出采用交流115V,能直接驱动电磁阀、交流接触器等。

(9)通用性强,扩展时方便。

(10)程序要能储存,存储容量可扩展到4K字节。

根据以上要求,1969年,美国数字设备公司(DEC)研制出了世界上第一台可编程序控制器,并在美国通用汽车公司的生产线上试用,取得了满意的效果,可编程序控制器由此诞生。可编程序控制器的出现开创了以微电子技术为核心的数字化电气控制技术的新局面,此后这一全新的技术便以很快的速度发展起来。现在的PLC不仅具有逻辑控制功能,而且还增加了数据运算、传送与处理功能,成为具备计算机功能的一种通用工业控制装置。

2.2 PLC的定义

可编程序控制器出现以后,名称一直没有统一。国际电工委员会(IEC)于1987

年2月对可编程序控制器作了如下的规定:“可编程序控制器是一种数字运算操作的电子系统,专为在工业环境下应用而设计。它采用可编程序控制的存储器,用来在其内部存储执行逻辑运算、顺序控制、定时、计数和算术运算等操作的指令,并通过数字式、模拟式的输入和输出,控制各种类型的机械或生产过程。可编程序控制器及其相关设备,都应按易于与工业控制系统形成一个整体,易于扩充其功能的原则设计。”由于早期的可编程序控制器主要用于开关量的逻辑控制,且为了和个人计算机(Personal Computer)相区别,人们把可编程序控制器缩写成为PLC(Programmable Logic Controller),一直沿用至今。

2.3 PLC基本结构

PLC实质是一种专用于工业控制的计算机,其硬件结构基本上与微型计算机相同,如图2-1所示:

图2-1PLC基本结构

2.4 PLC的编程语言

PLC是通过程序对系统进行控制的,作为一种专用计算机,为了适应其应用领域,一定有其专用的语言。PLC的编程语言有多种,如梯形图、语句表、功能图等。梯形图编程语言是一种图形语言,具有继电器控制电路形象、直观的优点;语句表编程语言类似计算机的汇编语言,用助记符来表示各种指令的功能,是PLC用户程序的基础元素。梯形图程序让PLC仿真来自电源的电流通过一系列的输入逻辑条件,根据结果决定逻辑输出的允许条件。梯形图按逻辑关系分为“梯级”或网络。

2.5 PLC的特点

2.5.2 PLC的特点

1、软硬件功能强

2、使用维护方便

3、运行稳定可靠

4、组织灵活

2.5.2 PLC的发展趋势

从当前产品来看,PLC的发展仍然主要体现在提及的缩小与性能的提高两大方面。

1.向高速度、大容量方向发展

2.向超大型、超小型两个方向发展

3.PLC大力开发智能模块,加强联网通信能力

4.增强外部故障的检测与处理能力

5.编程语言多样化

2.6 PLC 工作原理

可编程序控制器通电后,需要对硬件和软件做一些初始化工作。为了使可编程序控制器输出及时地响应各种输入信号,初始化后反复不停地分阶段处理各种

不同的任务,( 如图 2.2),这种周而复始的循环工作方式称为扫描工作方式。

图2.2 PLC 扫描过程

2.7 PLC 的编程语言

PLC 是通过程序对系统进行控制的,作为一种专用计算机,为了适应其应用领域,一定有其专用的语言。PLC 的编程语言有多种,如梯形图、语句表、功能图等。梯形图编程语言是一种图形语言,具有继电器控制电路形象、直观的优点;语句表编程语言类似计算机的汇编语言,用助记符来表示各种指令的功能,是PLC 用户程序的基础元素。

梯形图程序让PLC仿真来自电源的电流通过一系列的输入逻辑条件,根据结果决定逻辑输出的允许条件。梯形图按逻辑关系分为“梯级”或网络。

如图2.3所示是用PLC控制的梯形图程序,可完成与继电器控制的电动机直接起、停(起、保、停)继电器控制电路图相同的功能。

图2.3PLC控制的梯形图程序

2.8 PLC的性能指标

1、I/O点数

I/O点数,即PLC面板上的I/O端子的个数。I/O点数越多,外部可以连接的I/O器件就越多,控制规模就越大。它是衡量PLC性能的重要指标之一。

2、存储容量

这里专指用户存储器的存储容量,它决定了用户所编程序的长短。大、中、小型PLC的存储容量变化范围一般为2KB~2MB。

3、扫描速度

扫描速度是指PLC执行程序的快慢,是一个重要的性能指标,体现了计算机控制取代继电器控制的稳合程度。可编程控制器采用循环扫描的工作方式。

4、指令系统

它是衡量PLC能力强弱的标志,决定了PLC的处理能力、控制能力的强弱。限定了计算机发挥运算功能、完成复杂控制的能力。

5、通信功能

通信有PLC之间的通信和PLC与计算机或其它设备之间的通信。主要涉及通信模块、通信接口、通信协议、通信指令等。

6、扩展能力

扩展能力包括I/O点数的扩展和PLC功能的扩展两方面的内容。

7、特殊功能单元

特殊功能单元种类多,也可以说PLC的功能多。典型的特殊功能单元有模拟量、模糊控制连网等功能。

第三章系统硬件设计

3.1 控制系统

选取抢答器对时间间隔的要求很高,而且多在会议、答辩赛等一些正规的需要进行抢答的场合中使用,所以对设备的精准性和可靠性要求很高。为此,我们对将采用的控制系统进行了全面的分析对比。可编程控制器(PLC)是由工业微型计算机、输入输出设备、保护及抗干扰隔离电路等构成的微机控制装置,具有顺序、周期性工作的特征,从应用角度看可编程控制器具有如下特点:

1、可靠性高:由于可编程控制器的输入输出端口均采用继电器或光电耦合器件,采取了隔离和抗干扰措施,使其具有很高的抗干扰能力,因而能在恶劣环境下可靠工作。

2、体积小:由于在制造时采用了大规模集成电路和微处理器,用软件编程代替了硬连线,便于安装,实现了小型化。

3、通用性好:由于可编程控制器采用模块化结构,一般有CPU 模块、电源模块、PID模块、模拟输入和输出模块等,可以用这些模块灵活的组成各种不同的控制系统,对不同的控制系统,只需选取不同的模块即可,因而具有很好的适用性。

4、使用方便:对于不同的控制系统,当硬件结构选定后,如果输入、输出作很小的变动时,只需修改相应程序即可,无需对系统连线做较大的修改,减少了现场调试的工作量,使用起来灵活方便。基于可编程控制器的上述优点能够适应和满足立体车库高性能的使用要求,所以我确定该车库控制系统为PLC(西门子

S7-200系列)。S7-200系列的PLC在各种行业的检测及控制的自动化都得到广泛的应用。由于其具有极高的性价比,在以下几方面都有优越的表现:极高的可靠性、程序易于掌握,实时特性功能、编程语言指令丰富,操作简便,有丰富的扩展功能。抢答器所使用的各种判断信号均为数字量,所以用西门子S7-200系列就完全可以满足电气控制系统方面的要求。

3.2 控制系统硬件组成

在抢答器的工作过程中,主控单元的主要控制对象首先是输入信号,控制系统就是判别这个事件有没有发生,不同的情况给出不同的结果,让大家都很快的明。其硬件组成结构图如图3-1所示

图3-1控制系统硬件组成结构图

3.3 控制系统I/O分配表

图3-2I/O分配表

3.4 系统硬件连接图

根据系统要求和所需要的硬件,系统数字量输入点总计6个点,输出点总计5个点。综合考虑到系统的性价比和系统的可扩展性,输入、输出点留出一定的富裕量,因此PLC选择SIEMENS的S7-200系列的CPU226继电器输出型和数字量扩展模块EM223。输入、输出端子电气接线图如图3.2所示。

图3-3系统硬件连接图

CP U226

I0.0 I0.1 I0.2 I0.

Q0.0 Q0.1 Q0.2 Q0.3 Q0.4

第四章 程序设计

4.1整体设计

根据PLC 智能抢答器的控制要求,应用程序采用一体化结构。通过PLC 控制程序来实现整体的运行,系统仅需要少量的按钮和接口,一般的PLC 配置都可运行。该系统本着简单易懂、可靠性强、适应性强等方面进行设计。在抢答时只需按动按钮即可数码管在系统程序的控制下自动显示组号以及倒计时时间。安全、可靠、省时、省力、价格便宜。

控制软件应用SIEMENS 编程软件,采用梯形图语言编写,工作系统自动控制流程框图如图 4.1.所示。根据系统控制要求,进行针对性设计,要充分保证系统的安全,保证整个系统的运行安全可靠。自动条件下,必须复位后在满足自动条件下才能进行自动运行程序,当中充分应用各个过程的互锁来保证系统的安全。如下图所示,有主程序和若干子程序组成,其整体设计工作系统自动控制流程图如图4.1所

图4.1PLC 工作系统自动控制流程图

第一组 第二组 第三

开始抢答 复位

计时电路

指 示 灯

4.2程序设计

1.按下抢答开始I0.0,辅助触电M0.0得电,T37开始计时

2.若10s之后无人抢答,则该题作废,扬声器响一声。或者是有人抢答但是作答时间超过30s秒,答题无效扬声器响一声。

3.网络3是第一组抢答成功,驱动辅助触电M0.1得电,网络4是第二组抢答成功,驱动辅助触电M0.2得电,

课程设计四人抢答器实验报告

课程设计四人抢答器实验报告

课题:四人智力抢答器专业: 班级: 学号: 姓名: 指导教师: 设计日期: 成绩: 电气学院

四人智力抢答器设计报告 一、设计目的作用 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计要求 设计一台可供4名选手参加比赛的智力竞赛抢答器。当主持人说开始时,四人开始抢答,电路能判别出四路输入信号中哪一路是最先输入信号,并给出声、光、数码显示。 (1) 4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2) 给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。 (3) 抢答器具有数据锁存的功能。抢答开始后,若有选手按动抢答按钮,该选手指示灯亮并立即锁存,同时扬声器给出音响提示,禁止其它选手抢答。抢答选手的指示灯一直保持到主持人将系统清零为止。 (4)选择B题的除了具有上述功能外,还要在声、光显示的同时,在数码管上显示选手的编号,编号一直保持到主持人将系统清零为止。 三、设计的具体实现 1、系统概述

电路主要由脉冲产生电路,锁存电路,编码及译码显示电路和音响产生电路。当有选手抢答时首先锁存,防止其它选手抢答,然后编码,再经4线7段译码器将数字显示到显示器上同时产生音响,电路结构系统如图: (1)以锁存其为中心的编码显示器 抢答信号的判断和锁存能够采用触发器或锁存器。若以四D触发器74LS175为中心构成编码锁存系统,编码的作用是把锁存器的输出转化为8421BCD码,进而送给7段显示译码器。其真值表为: 锁存器输出编码器输出 Q4 Q3 Q2 Q1 D C B A 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 1 0 0 0 0 1 1 1 0 0 0 0 1 0 0

基于PLC的六路抢答器系统设计

电气及自动化课程设计报告 题目:基于PLC的六路抢答器系统设计 课程:PLC原理与应用 学生姓名: 学生学号: 年级:14级 专业:自动化 班级:2班

指导教师: 机械与电气工程学院制 2017年6月 目录 1课程设计的任务和要求 (1) 1.1课程设计的任务 (1) 1.2课程设计的要求 (1) 2.PLC控制器的原理与组成 (1) 2.1PLC硬件系统 (1) 2.2PLC工作原理 (3) 2.3六人抢答器基本组成 (4) 2.4六人抢答器工作原理 (4) 3六人抢答器系统设计方案制定 (5) 3.1PLC选型 (5) 3.2六人抢答器系统的I/O口分配 (6) 4六人抢答器系统的软件设计 (7) 4.1PLC编程语言 (7) 4.2抢答器系统程序 (7)

4.2.1主持人控制端 (7) 4.2.2抢答成功与抢答犯规指示灯显示 (9) 4.2.3七段数码管显示 (9) 4.2.4蜂鸣器电路 (11) 5六人抢答器系统程序仿真 (12) 5.1抢答成功仿真 (12) 5.2抢答犯规及抢答超时仿真 (12) 5.3加减分及数码管显示 (13) 5.4抢答超时 (14) 6总结及心得体会 (14) 参考文献 (15)

基于PLC的六路抢答器系统设计 机械与电气工程学院自动化专业 1课程设计的任务和要求 1.1课程设计的任务 使用西门子S7-200PLC编写程序实现六路抢答器的系统设计并使用仿真软件进行其功能的实现。 1.2课程设计的要求 (1)主持人控制功能,具有开始抢答按钮和复位按钮; (2)主持人未按下开始抢答按钮时抢答为违规抢答,违规指示灯亮,蜂鸣器响; (3)抢答延时,超过20S无人抢答时此题作废,蜂鸣器长鸣; (4)抢答成功后,抢答成功指示灯亮,数码管显示抢答成功的队伍编号; (5)在抢答成功后,主持人根据回答的正确与否可以对该队伍进行加减分控制; (6)每次正确抢答时,只有第一位按下抢答按钮的队伍为有效抢答。 2.PLC控制器的原理与组成 2.1PLC硬件系统 可编程控制器,英文称ProgrammableLogicController,简称PLC。PLC是基于电子计算机,且适用于工业现场工作的电控制器。它源于继电控制装置,但它不像继电装置那样,通过电路的物理过程实现控制,而主要靠运行存储于PLC内存中的程序,进行入出信息变换实现控制。PLC基于电子计算机,但并不等同于普通计算机。普遍计算机进行入出信息变换,多只考虑信息本身,信息的入出,只要人机界面好就可以了。而PLC则还要考虑信息入出的可靠性、实时性,以及信息的使用等问题。特别要考虑怎么适应于工业环境,如便于安装,抗干扰等问题[1]。

4路抢答器数字电路课程设计

课题名称:数显抢答器的设计

数字电子课程设计任务书

目录 1绪论 1.1 摘要 (4) 1.1 设计题目:抢答器电路设计 (4) 1.2 设计任务和要求 (4) 1.3 方案比较 (4) 2系统总体方案及硬件设计 (5) 2.1 系统总体方案 (5) 2.2 硬件设计 (6) 3软件设计 (12) 3.1 单元电路设计 (12) 3.1.1 抢答电路 (12) 3.1.2 定时电路 (14) 3.1.3 报警电路 (15) 3.1.4 时序控制电路 (15) 4课程设计体会 (17) 5参考文献 (18)

摘要 随着我国经济和文化事业的发展,在很多竞争场合要求有快速公正的竞争裁决,例如证券、股票交易及各种智力竞赛等。在现代社会生活中,智力竞赛更是作为一种生动活泼的教育形式和方法能够引起观众极大的兴趣。而在竞赛中往往分为几组参加,这时针对主持人提出的问题,各组一般要进行必答和抢答,对必答一般有时间限制,到时有声响提示;对于抢答,要判定哪组先按键,为了公正,这就要有一种逻辑电路抢答器作为裁判员。一般抢答器由很多门电路组成,线路复杂,可靠性低,特别是抢答路数增多时,实现起来更加困难。本文介绍了一种利用数字电路实现的抢答系统,具有很强的实用性。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。抢答器具有定时抢答的功能,且一次抢答的时间为3秒。当主持人启动“开始”键后,要求定时器立即进行减计时,并用显示器显示通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,本次抢答无效,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示0并闪烁。经过布线、焊接、调试等工作后数字抢答器成形。

EDA课程设计—四人抢答器设计

摘要 现代生活中,数字电路产品与我们接触的是越来越平凡了,包括计算机、电子表、智能仪器表及其它很多领域中,它给我们带来的不仅是工作上的方便,而且也给我们的生活娱乐添滋加彩。这次EDA课程设计中,我做的是四人抢答器,基于设计要求,本文主要是从锁存器及计数器功能和VHDL语言着手,但侧重点在用VHDL语言上。首先简单介绍一下数字电路、EDA、VHDL等的有关知识,其次介绍了一下设计要求和我的设计构想,再运用VHDL语言特点,写出程序代码,最后是一些总结和抢答器部分实验电路图与倒计时设计的电路图和用MAX+PLUSII软件仿真的结果部分图附录等部分。 关键词:置位;复位;锁存;计数器;七段显示器;MAX+PLUSII;译码器 目录 摘要: (1) 引言: (2) 一、设计任务及要求: (2) 二、题目分析与整体构思: (2) 三、VHDL程序设计: (3) 四、心得体会及模型评价与推广: (5) 附录: (6) 参考文献: (10)

引言 数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。 EDA技术又称电子设计自动化,它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。前两个阶段的EDA产品都只是个别或部分的解决了电子产品设计中的工程问题;第三代EDA工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统一管理,并提出了并行设计环境概念,提供了独立于工艺和厂家的系统级的设计工具。 VHDL(VERY HIGH SPEED INTEGRA TED CIRCUIT HARDW ARE DESCRIPTION LANGUAGE)语言最早是有美国国防部提出的,它支持行为领域和结构领域的硬件描述,并且可以从最抽象的系统级一直到最精确的逻辑级,在描述数字系统时,可以使用前后一致的语义和语法跨越多个层次,并且使用跨越多个级别的混合描述模拟该系统。因此,它可以由高层次行为描述子系统及低层次详细实现子系统所组成的系统模拟。它有两个版本IEEEStd1076-1987[LRM87]和IEEEStd1076-1993[LRM93],他们并不完全兼容,但做一些修改就可以兼容了。 许多公司都为VHDL开发出了编译和仿真软件,其中Max+plusII(或写成Maxplus2,或MP2) 是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内内完成。特别是在原理图输入等方面。 一、设计任务及要求: 本设计要求做一个四人抢答器,并要求当有某一参赛者首先按下抢答开关时,相应 显示灯亮并报警,此时抢答器不再接受其他输入信号。电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示0-99),时间采用倒计时方式。当到达限定时间,发出警告。 二、题目分析与整体构思: 对于一个四人抢答器,四个选手在电路中的起始控制作用是一样的,当裁判员宣布开始抢答时,谁先按下他前面的控制开关,他的灯就会亮,而且这时其他人再怎么按,也就不会亮了,说明每个人对其他人都有一个先发制人的作用,及每个人都在时间控制下,能锁存住其他选手的功能。当有一个指示灯亮了,计数器就开始从99开始倒计时,到0时还要警告声,这样计数器开始工作就是在指示灯的指示下工作。 可以设四个人分别为输入端A,B,C,D;因为四个输入端在VHDL中,要求四个输入端

抢答器的PLC控制系统设计样本

课程设计任务书 课程设计名称: 可编程序控制器应用技术 设计题目: 抢答器的PLC控制系统设计 设计依据、要求及主要内容: 一、实验目的 1、掌握PLC电气控制系统的设计方法。 2、掌握PLC电气系统的设计、调试方法。 二、实验设备 1、 PLC——Ⅲ型可编程控制台。 2、编程器。 3、实验导线。 4、控制要求的其它外围设备。 三、设计内容 ( 一) 控制要求 设计供4组参赛队伍进行抢答的控制系统, 有数字显示和声音提示, 并设有答题时间控制。 1、参赛者只有在主持人按下开始抢答按钮后再开始抢答才有效, 否则按 抢答器按钮或一直按着抢答器按钮不放, 均不起作用。 2、在抢答时显示最先抢到的一组的组号, 扬声器响一声, 其它组均被封 锁。 3、每道答题在规定的时间10S内完成, 超时则自动取消答题资格, 显示

器数字显示0并报警一声。 4、答题完成后由主持人结束答题, 即复位, 显示器数字回到0。( 二) I/O分配 输入: X0主持人开始抢答按钮SB0 X11主持人结束抢答按钮SB11 X1第一组抢答按钮SB1 X2第二组抢答按钮SB2 X3第三组抢答按钮SB3 X4第四组抢答按钮SB4 输出: Y0扬声器控制信号 Y1个位数字a段控制信号 Y2个位数字b段控制信号 Y3个位数字c段控制信号 Y4个位数字d段控制信号 Y5个位数字e段控制信号 Y6个位数字f段控制信号 Y7个位数字g段控制信号 ( 三) 设计要求 1、画出PLC的输入输出设备的接线图; 2、使用经验设计法完成梯形图、指令表的程序设定;

3、完成程序的仿真调试和模拟试验; 4、完成设计说明书。 目录 1概述 (3) 2方案确定 (4) 3硬件参数设计 (4) 3.1 PLC的选型 (6)

基于stm32的四路抢答器课程设计说明书大学论文

课程设计说明书题目:基于STM32的四路抢答器 学院: 年级专业: 学号: 学生姓名: 指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。在我们各种竞赛中我们也经常能看到有抢答的环节,某些举办方采用让选手通过举答题板的方法判断选手的答题权,这在某种程度上会因为主持人的主观误断造成比赛的不公平性,而抢答器的应用就能避免这种弊端。今天随着科技的不断进步抢答器的制作也更加追求精益求精,人们摆脱了耗费很多元件仅来实现用指示灯和一些电路来实现简单的抢答功能,使第一个抢答的参赛者的编号能通过指示灯显示出来,避免不合理的现象发生。但这种电路不易于扩展,而且当有更高要求时就无法实现,例如参赛人数的增加。随着数字电路的发展,数字抢答器诞生了,它易于扩展,可靠性好,集成度高,而且费用低,功能更加多样,是一种高效能的产品。而如今在市场上销售的抢答器大多采用可编程逻辑元器件,或利用单片机技术进行设计。 本文实现了一个基于嵌入式STM32单片机的4路抢答器系统设计,本系统设计主要分为硬件设备和软件控制两大部分。外部硬件使用STM32单片机作为控制中心,用4个按键作为抢答输入,抢答开始后,抢答成功者的LED灯标识为红色闪烁,并且显示各抢答输入的时间。 关键词:智能抢答器,STM32,按键输入,数码管显示

目录 摘要 (2) 目录 (3) 第1章绪论 (5) 1.1课题研究的相关背景 (5) 1.2选题的目的和意义 (5) 1.3课题研究的内容 (5) 1.4国内外研究现状 (6) 1.5抢答器目前存在的主要问题 (6) 第2章抢答器的系统概述 (7) 2.1系统的主要功能 (7) 2.2抢答器的工作流程 (7) 2.3STM32的功能及简介 (7) 2.4抢答器的优点及组成 (10) 2.5本章小结 (11) 第3章系统的硬件设计与开发 (11) 3.1系统硬件总体设计 (11) 3.2STM32最小系统 (12) 3.4时钟频率电路的设计 (14) 3.5复位电路的设计 (15) 3.6数码管显示 (16) 3.7键盘电路的设计 (16) 3.8LED电路 (18) 3.9本章小结 (18) 第4章系统的软件设计与开发 (19) 4.4主要程序分析 (20) 4.5本章小结 (29) 第5章总结与展望 (30) 5.1总结 (30)

四路抢答器课程设计报告

四 路 抢 答 器 设 计 实 验 报 告 信息科学技术学院自动化*班 ****

四路抢答器设计实验报告 一、设计任务: 1、巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能 力。 2、养成根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、 调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行 数字电子电路实验的基本技能。 二、技术指标 抢答器是一种具有优先输出的电子电路。它的基本功能是,在四组参赛的情况下,首先抢答者发出抢答信号,此时其他参赛组的抢答电路即失去控制作用。在优先抢答者解除抢答信号后,电路才自动恢复到各组又可均等抢答的状态中。 1、设计一个可供4人进行的抢答器。 2、系统设置复位按钮,按动后,重新开始抢答。

3、抢答器开始时数码管无显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。抢答后显示优先抢答者序号,同时发出音响。并且不出现其他抢答者的序号,这样其它选手无法再抢答,达到抢答目的。 4、抢答器具有定时抢答功能,本抢答器的时间设定为10秒,当主持人启动“开始”开关后,定时器开始减计。 5、设定的抢答时间,选手可以抢答,这时定时器开始工作,显示器上显示选手 的和抢答时间。并保持到主持人按复位键。 6、当设定的时间一到,而无人抢答时,本题报废,选手们无法再抢答,同时扬 声器报警发出声音,定时器上显示0。 三、元件清单:

四人抢答器plc课程设计

课程设计说明书 题目名称:四组抢答器plc课程设计 系部:机械工程系 专业班级:机械化13-1班 学生姓名: 学号:2013233 指导教师:全瑞琴 完成日期:2017年1月8号

新疆工程学院 课程设计评定意见 设计题目四组抢答器plc课程设计 系部机械工程系专业班级机械化13-1班学生姓名学生学号2013233 评定意见: 评定成绩: 指导教师(签名):年月日

(此页背书) 评定意见参考提纲: 1、学生完成的工作量与内容是否符合任务书的要求。 2、学生的勤勉态度。 3、设计或说明书的优缺点,包括:学生对理论知识的掌握程度、实践工作能力、表现出的创造性和综合应用能力等。

新疆工程学院 机械工程系系(部)课程设计任务书 2015-2016 学年第一学期2016 年 1 月10日 教研室主任(签名)系(部)主任(签名)

摘要 随着微处理器、计算机和数字通讯技术的飞速发展,计算机控制已扩展到了所有控制领域。而实用抢答器的这一产品是各种竞赛活动中不可缺少的设备,无论是学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有的各种各样的智力竞赛抢答器绝大多数是早期设计的,本设计要求就是利用PLC作为核心部件进行逻辑控制及信号的产生,用PLC本身的优势使竞赛真正达到公正、公平、公开。设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先选择这个题目之后我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路然后仔细分析PLC控制的四路智力抢答器的工作原理以及它的一些工作过程分析后得 出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要9个,输入端口需要6个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点因此我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活维护使用方便等特点。 关键词: 可编程控制器抢答器 PLC 模拟电路数字电路

抢答器PLC控制系统课程设计

) 抢答器PLC控制系统设计 一、抢答器PLC电气控制系统设计任务书 1.抢答器工艺的技术要求 实用抢答器的这一产品是各种竞赛活动中不可缺少的设备,无论是学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有的各种各样的智力竞赛抢答器绝大多数是早期设计的,只具有抢答锁定功能的一个电路,以模拟电路、数字电路或者模拟电路与数字电路相结合的产品,这部分抢答器已相当成熟。现在的抢答器具有倒计时、定时、自动(或手动)复位、报警(即声响提示,有的以音乐的方式来体现)、屏幕显示、按键发光等多种功能。但功能越多的电路相对来说就越复杂,且成本偏高,故障高,显示方式简单(有的甚至没有显示电路),无法判断提前抢按按钮的行为,不便于电路升级换代。本设计要求就是利用PLC作为核心部件进行逻辑控制及信号的产生,用PLC 本身的优势使竞赛真正达到公正、公平、公开。 2.抢答器电气控制系统设计要求 1)抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0 ~ S7表示。 2)设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3)抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 ~ 4)抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。当主持人启动"开始"键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间秒左右。 5)参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 6)如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 二、抢答器PLC电气控制系统总体设计过程 (二)系统设计要求及工作原理 1.设计要求 (1)抢答器同时为8组选手分别提供按钮,按钮分别为 PB0、PB1、PB2、PB3、PB4、PB5、PB6、PB7; (2)设置一个复位按钮PB10,实现系统电路的复位,由 主持人控制; (3)当主持人打开启动开关SW1后,在设定时间T0内, 如果某组抢先按下抢答按钮,则驱动音效电路①发出声响, 指示灯L1亮,并且在8段数码管显示器(如图1所示)上显 示出抢答成功的组号,此时电路实现互锁,其它组再按下抢 答按钮为无效; (4)如果在时间T0内,无人应答,则驱动音效电路② 发出声响,指示灯L2亮,表示抢答者均放弃该题;

PLC课程设计题目

可编程控制器原理及应用课程设计题目 1. 设计抢答器PLC控制系统。控制要求: 1)抢答台A、B、C、D,有指示灯,抢答键。 2)裁判员台,指示灯,复位按键。 3)抢答时,有2S声音报警。 4)用数码管显示抢中的组号。 2设计两台电动机顺序控制PLC系统。 控制要求:两台电动机相互协调运转,M1运转10S,停止5S,M2要求与M1相反,M1停止M2运行,M1运行M2停止,如此反复动作3次,M1和M2均停止。 3.设计交通红绿灯PLC控制系统。控制要求: 1)东西向:绿5S,绿闪3次,黄2S;红10S。 2)南北向:红10S,绿5S,绿闪3次,黄2S。 4设计彩灯顺序控制系统。控制要求: 1)A亮1S,灭1S;B亮1S,灭1S; 2)C亮1S,灭1S;D亮1S,灭1S。 3)A、B、C、D亮1S,灭1S。 4)循环三次。 5.用PLC对自动售汽水机进行控制,工作要求: 1)此售货机可投入1元、2元硬币,投币口为LS1,LS2; 2)当投入的硬币总值大于等于6元时,汽水指示灯L1亮,此时按下汽水按钮SB,则汽水口L2出汽水12秒后自动停止。 3)不找钱,不结余,下一位投币又重新开始。 6.设计电镀生产线PLC控制系统.控制要求: 1)SQ1—SQ4为行车进退限位开关,SQ5—SQ6为上下限为开关。 2)工件提升至SQ5停,行车进至SQ1停,放下工件至SQ6,电镀10S,工件升至SQ5停,滴液5S,行车退至SQ2停,放下工件至SQ6,定时6S,工件升至SQ5停,滴液5S,行车退至SQ3停,放下工件至SQ6,定时6S,工件升至SQ5停,滴液5S,行车退至SQ4停,放下工件至SQ6。 3)完成一次循环。 7.皮带运输机传输系统 有一3台皮带运输机传输系统,分别用电动机M1、M2、M3带动,控制要求如下:按下起动按钮,先起动最末一台皮带机M3,经5S后再依次起动其它皮带机。正常运行时,M3、M2、M1均工作。按下停止按钮时,先停止最前一台皮带机M1,待料送完毕后再依次停止其它皮带机。

(完整版)基于51单片机的4人抢答器课程设计

基于51单片机的4人抢答器设计 设计要求: 以单片机为核心,设计一个4位竞赛抢答器:同时供4名选手或4个代表队比赛,分别用4个按钮S0~S3表示。 设置一个系统清除和抢答控制开关S,开关由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。 当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间为0.5s左右。 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 工作原理: 通过键盘改变抢答的时间,原理与闹钟时间的设定相同,将定时时间的变量置为全局变量后,通过键盘扫描程序使每按下一次按键,时间加1(超过30时置0)。同时单片机不断进行按键扫描,当参赛选手的按键按下时,用于产生时钟信号的定时计数器停止计数,同时将选手编号(按键号)和抢答时间分别显示在LED上。

#include #define uchar unsigned char #define uint unsigned int uchar num; //定义中断变量,num计满20表示1秒时间到uchar num1; //十秒倒计时显示初始值 uchar flag1,flag2; //清零键及开始键按下标志位 uchar flag3,flag4=0; //定义键盘按下标志位 uchar code table[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f}; //数码管编码

基于plc的8人抢答器设计

学号:2014012304 控制技术课程设计报告 题目: 抢答器PLC控制系统设计 学院(系): 机械与电子工程学院 专业年级: 机电143 学生姓名: 黄颖石 指导教师: 刘利王转卫 完成日期: 2017年7月10日

目录 1、设计目的及要求 (1) 1、1 设计的目的 (1) 1、2 课程设计的任务要求 (1) 2、设计方案 (1) 2、1整体功能介绍 (1) 2、2基本原理框图 (2) 2、3整体流程设计 (2) 2、4系统所用元器件 (4) 2、5 PLC的选型 (4) 2、6 外部接线设计 (5) 3、程序设计 (6) 4、系统调试及分析 (9) 4、1抢答举例 (9) 4、2程序的组态仿真 (10) 5、设计心得体会 (12) 参考文献 (13) 附录: (14)

1、设计目的及要求 1、1 设计的目的 (1)结合实际抢答器的工作情况,设计抢答器信号控制原理设计,掌握复杂情况下抢答器定时及减数功能的实现。 (2)进一步熟悉控制系统设计中元器件选型,及组态环境下控制系统仿真与调试,掌握控制系统原理设计、硬件系统设计、软件系统设计、创新设计。 (3)提高理论知识工程应用能力、系统调试能力、分析问题与解决问题的能力。 1、2 课程设计的任务要求 (1)抢答器同时供8名选手或8个代表队比赛分别用8个按钮S0~S7表示。 (2)设置一个系统清除与抢答控制开关S,该开关由主持人控制。 (3)抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 (4)抢答器具有定时抢答功能,,且一次抢答的时间由主持人设定(如30秒)。当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声音,声响持续的时间0、5秒左右。 (5)参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号与抢答的时间,并保持到主持人将系统清除为止。 (6)如果定时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 2、设计方案 2、1整体功能介绍 竞赛抢答器,顾名思义就就是用于比赛时,跟对手比反应时间,思维运转快慢的新型电器。随着社会科技技术的不断发展,它的应用场合也随之增加;技术含量

课程设计三路抢答器

三人抢答计时器 一、摘要 智力竞赛抢答计时器是一名公正的裁判员,它的任务是从若干名参赛者中确定出最先的抢答者,并要求参赛者在规定的时间里回答完问题。 二、设计要求 1.设计一个三人参加的智力竞赛抢答计时器。 2.当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响。此时,抢答器不再接收其他输入的信号。 3.电路具有回答问题时间控制功能。要求回答问题的时间小于等于100秒(显示0~99),时间显示采用倒计时方式。当达到限定时间时,发出声响以示警告。 三、给定条件及元器件 1.要求电路主要选用中规模CMOS集成电路CC 4000 系列。 2.电源电压为5 ~ 10 V 。 3.本设计要求在数字电路实验箱上完成。 四、设计内容 1.电路各部分的组成和工作原理。 2.元件器的选取及其电路图和功能。 3.电路各部分的调试方法。 4.在整机电路的设计调试过程中,遇到什么问,其原因及解决的办法。 五、电路组成和工作原理

图(一) 根据上面所说的功能要求,智力竞赛抢答计时系统的组成框如图(一)所示。 它主要由六部分组成; 1、抢答器——是三人抢答计时器的核心。当参赛者的任意一位首先按下抢答开关时,抢答器即刻接受该信号,指使相应发光二级管亮(或音响电路发出声音),与此同时,封锁住其他参赛者的输入信号。 2、抢答控制电路——由三个开关组成。三名参赛者各控制一个,拨动开关使相应控制端的信号为高电平或低电平。 3、清零装置——供比赛开始前裁判员使用。它能保证比赛前触发器统一清零,避免电路的误动作和抢答过程的不公平。 4、显示声响电路——比赛开始,当某一参赛者按下抢答器开关时,触发器接受该信号,在封锁其他开关信号的同时,使该路的发光二极管发出亮光和蜂鸣器发出声响,以引起人们的注意。 5、计时显示声响电路——是对抢答者回答问题时间进行控制的电路。若规定回答问题时间小于等于100秒(显示为0—99),那么显示装置应该是一个二位数字显示的计数系统。 6、振荡电路——它应该提供给抢答器,计时系统和声响电路工作的控制脉冲。

四人智力抢答器课程设计报告

四人智力抢答器课程设计 报告 Prepared on 22 November 2020

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 方案比较 (1) 系统框图 (3)

3单元电路设计、参数计算和器件选择 (3) 抢答电路设 (3) 定时电路设计 (6) 报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 完整电路图 (10) 工作原理..............................................................................11 5经验体会. (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

《PLC课程设计》(---九路抢答器)指导书

广东工贸职业技术学院 2010~2011学年第二学期 PLC课程设计指导书 题目:九路抢答(PLC可编程控制器应用) 课程名称《PLC及组态课程设计》专业电子信息适用年级09级 班级09电信班1、2 指导教师_侯益坤、伍勤谟 一、课程设计的目的 PLC的课程设计是在完成本课程内容的课堂教学和实践之后进行的。目的是通过对一个实际应用课题的设计,初步掌握PLC控制系统的设计方法,从了解设计要求,运用所学知识并查阅有关技术资料进行系统设计,到模拟安装调试,然后整理有关技术资料,编写设计说明书,使学生得到一次系统的训练,从而对本课程理解更深刻,更清楚,更重要的是提高应用理论知识解决实际问题的能力。 课程设计应以培养学生的能力为主,要求学生在独立完成设计任务的同时,注意多方面能力的培养和提高,主要包括以下几方面: (1)综合运用专业及基础知识解决实际工程技术的能力。 (2)独立工作的能力和创造能力。 (3)查阅技术资料和各种工具书的能力。 (4)工程绘图能力。

(5)撰写技术报告和编制技术资料的能力。 因此,在课程设计教学中,应以学生为主体,让其充分发挥自主性和创造性。教师的作用主要体现在工作方法的指导和思维方法的引导,以及设计技术把关上面。 二、系统方案设计要求说明 在很多竞赛活动中,经常用到抢答器。对抢答器的控制要求是:当多个输入信号输入时,抢答器只接收第一个到来的信号,而不接收后面到来的输入信号并使第一个到来的输入信号相应的灯或铃有反应。 本系统中设有9个抢答输入按钮、一个复位按钮、一个开始按钮,一个七段数码管,一个蜂鸣器,一个3S兰灯,一个5S黄灯,一个红色违规指示灯。 本系统可提供九个抢答台,在主持人的主持下,参赛人通过抢先按下按钮回答问题。 在抢答开始前,主持人应按下复位按钮使系统复位,做好抢答准备。 当主持人说开始,并同时按下开始按钮,抢答开始,并限定抢答时间为10s。 若抢答者在抢答开始前抢先输入,则属违规要显示该台台号,同时蜂鸣器以0.1秒的周期响, 红色违规指示以1秒的周期闪烁,以便扣分惩罚。 若在开始之后到3s之内第一个按下抢答输入,由七段显示器显示该台台号,同时3s兰灯点亮、蜂鸣器声以0.1秒的周期响。以便答对之后给予基本加分和对应兰灯点亮额外奖励加分。 若在3s之后到5s之内第一个按下抢答输入,由七段显示器显示该台台号,同时5s黄灯点亮、蜂鸣器声以0.1秒的周期响。以便答对之后给予基本加分和对应黄灯点亮额外奖励加分。 若在5s之后10s之内第一个按下抢答输入,由七段显示器显示该台台号,蜂鸣器声以0.1秒的周期响。以便答对给予基本加分(但之后不奖励加分)。

四人抢答器课程设计报告_选定

本科课程设计专用封面 设计题目: 四人抢答器 所修课程名称: 电子技术基础数字部分 修课程时间: 2012 年 9 月 26日至 12月 27日 完成设计日期: 2012 年 12月 27 日 评阅成绩: 评阅意见: 评阅教师签名: 年 月 日 ____工____学院__2010__级__电气工程及其自动化__专业 姓名_______ 学号________________ ………………………………(装)………………………………(订)………………………………(线)………………………………

四人智力竞赛抢答器 一、设计题目 四人智力竞赛抢答器 二、设计任务与要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”。选手抢答时,数码显示选手组号,倒计时停止,此时抢答按键无效,数码管显示数字不能改变;倒计时完成之后抢答按键被锁住,按键无效。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,抢答按键被锁住,直到主持人恢复倒数数据。参赛选手在设定时间(9秒)内抢答有效,抢答成功,定

四路智力竞赛抢答器设计

吉林建筑大学 电气与电子信息工程学院 数字电子技术课程设计报告 设计题目:四路竞赛抢答电路 专业班级:信工131班 学生姓名: 学号: 指导老师: 设计时间: 教师评语: 成绩:评阅老师日期

前言 关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非抢答器的设计与制作智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短时间内,使人们增加一些科学知识和生活常识。常广泛。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。

目录 前言 (4) 一 . 课程设计目的 (5) 二 . 课程设计题目与内容 (5) 三 . 系统设计方案 (7) 四 . 电路工作原理 (8) 五 . 单元电路设计参数计算及元器件选择 (11) 六 . 完整电路图 (16) 七 .需要的元器件清单: (17) 八 . 总结与体会 (18) 九 . 参考文献 (20)

一、课程设计目的: 数字电子技术课程设计是数字电子技术课程的实践性教学环节,是对学生学习数字电子技术的综合性训练,这种训练是通过学生独立进行某一课题的设计、安装和调试来完成的,训练学生综合运用学过的数字电子技术的基本知识,独立设计比较复杂的数字电路的能力。 通过数字电路课程设计使学生做到: 1、综合运用电子设计课程中所学到的理论知识,独立完成一个设计课题。 2、通过查阅手册和文献资料,培养学生独立分析和解决实际问题的能力。 3、了解常用电子器件的类型和特性,并掌握合理选用的原则。 4、学会电子电路的安装与调试技能,掌握电子电路的测试方法。掌握常用电子仪器的使用方法。 5、学会撰写课程设计总结报告。

四人抢答器课程设计报告

《数字电子技术基础》课程设计任务书 专业:电气工程及其自动化班级:13电气专升本 学号:130732002 姓名:陈云飞 指导教师:耿素军 二零一三年十一月二十六日

四路数电抢答器 一、课程设计(论文)要求及原始数据(资料): 1)设计制作一个容纳4组参赛队的数字式抢答器,每组设置一抢答按钮供抢答者使用。 2)设计抢答者的输入抢答锁定电路、抢答者序号编码、译码和显示电路。 3)设计定时电路,声、光报警或音乐片驱动电路。 4)设计控制逻辑电路,启动、复位电路。 5)设计计分电路,犯规电路。 6)安装自己设计的电路和仿真。 7)写出设计报告。 二、课程设计进度: 二、主要参考文献 【1】阎石《数字电子电路》. 北京:高等教育出版社. 2007 【2】康华光. 《电子技术基础》(数字部分). 北京:高等教育出版社. 2000 【3】何小艇《电子系统设计》浙江大学出版社,2000 【4】董诗白《数字电子技术》高等教育出版社,2001 【5】吕思忠《数字电路实验与课程设计》,2001

专业班级 13电气专升本学生陈云飞李安瑞王菲安浩然王克强 课程设计(论文) 工作截至日期 2013-12-10 课程设计评语 课程设计成绩;

目录 1课程设计目的 (1) 2设计指标 (1) 3 总体框题设计 (1) 4方案设计 (2) 5总电路图设计 (8) 6使用元件 (9) 7总结 (10) 8 参考文献 (11)

一、课程设计目的 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计指标 (1)设计制作一个容纳4组参赛队的数字式抢答器,每组设置一抢答按钮供抢答者使用。 (2)设计抢答者的输入抢答锁定电路、抢答者序号编码、译码和显示电路。 (3)设计定时电路,声、光报警或音乐片驱动电路。 (4)设计控制逻辑电路,启动、复位电路。 (5)设计计分电路,犯规电路。 (6)安装自己设计的电路和仿真。 (7)写出设计报告。 三、总体框图设计 当主持人宣布开始,定时电路开始秒脉冲电路的作用而进行倒记时,并通过译码器在显示器中显示。报警电路给出声音提示。当选手首先按某一开关键时,可通过触发锁存电路被触发并锁存,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱,最先产生的输出电平变化又反过来将触发电路锁定。然后在译码器中译码,将触发器输出的数据转换为数码管需要的逻辑状态。最后在显示电路中显示出所按键选手的号码。若有多个开关同时按下时,则在它们之间存在着随机竞争的问题,结果可能是它们中的任一个产生有效输出。如图1.

PLC抢答器课程设计

《电气控制与PLC》课程设计报告 题目:抢答器PLC 控制系统设计 物联网工程学院自动化专业 学号 学生姓名 指导教师王志国 二〇一四年六月

抢答器PLC 控制系统设计 设备概况: 实用抢答器的这一产品是各种竞赛活动中不可缺少的设备,无论是学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有的各种各样的智力竞赛抢答器绝大多数是早期设计的,只具有抢答锁定功能的一个电路,以模拟电路、数字电路或者模拟电路与数字电路相结合的产品,这部分抢答器已相当成熟。现在的抢答器具有倒计时、定时、自动(或手动)复位、报警(即声响提示,有的以音乐的方式来体现)、屏幕显示、按键发光等多种功能。但功能越多的电路相对来说就越复杂,且成本偏高,故障高,显示方式简单(有的甚至没有显示电路),无法判断提前抢按按钮的行为,不便于电路升级换代。本设计要求就是利用PLC作为核心部件进行逻辑控制及信号的产生,用PLC本身的优势使竞赛真正达到公正、公平、公开。 控制要求: 1)抢答器同时供8 名选手或8 个代表队比赛,分别用8 个按钮S0 ~ S7 表示。 2)设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3)抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED 数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4)抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30 秒)。当主持人启动"开始"键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间0.5 秒左右。 5)参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 6)如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 实验仪器设备: 西门子PLC S7-300,连接线,计算机,STEP7软件 I/O分配表

相关文档
相关文档 最新文档