文档库 最新最全的文档下载
当前位置:文档库 › 数电复习题

数电复习题

数电复习题
数电复习题

数电复习题

1.图 示 逻 辑 符 号 的 逻 辑 式 为 ( C )。

(a) F =AB (b) F =A B

(c) F =A B AB (d) F =AB+AB

=1

A

F

B

2.逻 辑 图 和 输 入 A ,B 的 波 形 如 图 所 示, 分 析 当 输 出 F 为 “1” 时 刻 应 是

( C )。

(a) “t ” (b) “t ” (c) “t ”

t 1t 2t 3

≥1

A

F

B

A

B

3.逻 辑 图 和 输 入 A ,B 的 波 形 如 图 所 示, 分 析 在 t 时 刻 输 出 F 为

( A )。

(a) “1” (b) “0” (c) 不 定

t 1

&

A

F

B

A

B

4.逻 辑 图 和 输 入 A ,B 的 波 形 如 图 所 示, 分 析 当 输 出F 为“0”的 时 刻 应 是

( B )。

(a) t (b) t (c) t

t 1

t 2

t 3

&

F

1

A

B

A

B

5.逻 辑 图 和 输 入 A ,B 的 波 形 如 图 所 示, 分 析 当 输 出F 为“1”的 时 刻 应 是

( C )。

(a) t (b) t (c) t

t 1

t 2

t 3

1

≥1

A

B

F

A

B

6.逻 辑 电 路 如 图 所 示,满 足F =“1” 的 条 件 是( B )。

(a) ABCD = 0100 (b) ABCD =1011 (c) ABCD =1100

D

7.与 二 进 制 数01100110 相 应 的 十 进 制 数 是( A )。

(a) 102

(b) 66 (c) 54

8.与 十 进 制 数138 相 应 的 二 进 制 数 是( B )。 (a) (b) (c)

9.用线段将下图中各逻辑符号

与逻辑式一一对

应起来,并说

出它们的名称

。 A

A B

A B

A B

F AB AB =+F AB =F A B =+F A

=

10.某逻辑门电路的状态表如下,其输入变量为A ,B ,C ,输出为

F ,试写出F 的逻辑式 。

11.已知逻辑图及其输入波形如图所示,试分别画出输出F 1,F 2的波形。

1

B A F 2

A 2

B 2

A 2

B 2

12.TTL 与 非 门 的 扇 出 系 数 是( )。

(a) 输 出 端 允 许 驱 动 各 类 型 门 电 路 的 最 大 数 目 (b) 输 出 端 允 许 驱 动 同 类 型 门 电 路 的 最 小 数 目

(c) 输 出 端 允 许 驱 动 同 类 型 门 电 路 的 最 大 数 目 13.逻 辑 电 路 如 图 所 示,输 入 A =“1”,B =“1”,C =“1”,则 输 出 F 和 F 分 别 为 ( B )。

(a) F

10= F 20= (b) F 10= F 21= (c) F 11= F 20=

(d) F 10= F 21=

A

B

C

14.F =AB+BC +CA 的“ 与 非” 逻 辑 式为( B )。

(a) F =A B +B C +C A (b) F =AB BCCA (c) F =AB BC CA ++

15.图 示 逻 辑 电 路 的 逻 辑 式 为( A )。

(a) F =AB C +

(b) F =()A B C +

(c) F =AB +C

&

≥1

F

A

B

C

16. 图 示 逻 辑 电 路 的 逻 辑 式 为( a )。

(a) F =AB +C

(b) F =A +BC

(c) F =A (B +C )

A

17.逻 辑 电 路 如 图 所 示,分 别 写 出 两 图 的 逻 辑 式。

18.逻 辑 电 路 如 图 所 示, 写 出 逻 辑 式 并 化 简 之。

19.已 知 逻 辑 图 和 输 入A ,B ,C 的 波 形 如 图 所 示, 试 画 出 输 出F 的 波 形, 并

写 出 逻 辑 式。

20.逻 辑 电 路 如 图 所 示,写 出 逻 辑 式, 并 用“ 与 非” 门 实 现 之, 写 出 其“ 与

非” 逻 辑 式, 画 出 逻 辑 图。

A B

C D

21.入 A 和 B 同 为 “1”或 同 为“0”时, 输 出 为“1”。 当 A

和 B 状 态 不 同 时, 输 出 为“0”,试 列 出 状 态 表 并 写 出 相 应 的 逻 辑 式, 用“ 与 非” 门 实 现 之, 画 出 其 逻 辑 图。

22.已知某逻辑门电路输入A ,B ,C 及输出F 的波形如图所示, 试写出逻辑状态表, 写

出逻辑式, 画出逻辑图 。

A

B

F

23

.逻 辑 电 路 如 图 所 示, 全 加 器

为( )。

A B

()

a ()

b (c)

S i

C i

A i

B i

C i

24.译 码 器 的 逻 辑 功 能 是 ( )。

(a) 把 某 种 二 进 制 代 码 转 换 成 某 种 输 出 状 态 (b) 把 某 种 状 态 转 换 成 相 应 的二 进 制 代 码 (c) 把 十 进 制 数 转 换 成 二进 制 数

25. 逻 辑 状 态 表 如 下 所 示, 指 出 能 实 现 该 功 能 的逻 辑 部 件 是

( )。

(a) 十进 制 译 码 器 (b) 二进 制 译 码 器 (c) 二进 制 编 码 器

26.逻 辑 状 态 表 如 下 所 示, 指 出 能 实 现 该 功 能 的 逻 辑 部 件 是

( )。

(a) 二 进 制 译 码 器 (b) 十 进 制 编 码 器 (c) 二 进 制 编 码 器

27.编 码 器 的 逻 辑 功 能 是( ) 。

(a) 把 某 种 二 进 制 代 码 转 换 成 某 种 输 出 状 态

(b) 将某 种 状 态 转 换 成 相 应 的二 进 制 代 码 (c) 把 二 进 制 数 转 换 成 十进 制 数

28.用译码器74138实现组合逻辑电路F (X,Y,Z )=∑m (1,3,5,7)

29.用74LS151型8选1数据选择器实现逻辑函数式 Y=AB+BC+CA

74LS138

30.试用4选1数据选择器实现逻辑函数:

31.2、设计一个全加器。A i 、B i 、C i -1分别表示被加数、加数和低位来的进

位信号,S i 表示本位的和,C i 表示本位向高位产生的进位。试用下面两种方案设计一位全加电路。(10分)

(1)列出真值表 (2)利用卡诺图化简

(3)方案一:写出输出S i 、C i+1的逻辑表达式,并用与非门实现。

(4)方案二:写出S i 、C i+1的最小项表达式,并用项译码器74LS138和与非门实现。

32.3.Y(A,B,C,D)=∑(m 2,m 5, m 6,m 7,m 10) (限卡诺图化简)

给定约束条件: m 0+m 1+m 2+m 4+m 8=0

33.设计一个供三人表决用的逻辑电路,多数赞成灯亮。 ⑴用与非门实现上述逻辑功能。 (须定义逻辑变量和逻辑状态;列真值表;写出逻辑表达式;画出逻辑图。) ⑵用双4选1数据选择器74153完成上述逻辑功能。

34.逻 辑 电 路 如 图 所 示, 当A=“0”,B=“1” 时,C 脉 冲 来 到 后 JK 触 发 器

( )。

(a) 具 有 计 数 功 能 (b) 保 持 原 状 态 (c) 置“0” (d) 置“1”

C A BC AB L ++=C

A BC A

B L ++=

74LS138

B

Q

35.逻 辑 电 路 如 图 所 示,A=“0” 时,C 脉 冲 来 到 后 JK 触 发 器( )。 (a) 具 有 计 数 功

能 (b) 置“0” (c) 置“1”

A

36.已 知 逻 辑 电 路 图 及C 脉 冲 的 波 形 , 试 画 出A ,B ,D ,E 的 波 形, 并 写 出

逻 辑 式(设 触 发 器 的 初 始 状 态 为 “0”)。

D

C A

B E

D

37.已 知 逻 辑 电 路 图 及C 0和C 1的 波 形。 试 画 出 输 出 Q 0,Q 1 的 波 形

(设Q 0,Q 1 的 初 始 状 态 均 为 “0”)。

C

38.逻 辑 电 路 如 图 所 示,A=“1” 时,C 脉 冲 来 到 后 D 触 发 器( )。 (a) 具 有 计 数 器 功 能 (b) 置“0” (c) 置“1”

A

39.已 知 逻 辑 电 路 图 及 其C ,A ,B 的 波 形 , 试 画 出Q 的 波 形(设 Q 的 初 始 状 态 为“0”)。

C

B

A Q

40.已 知 逻 辑 电 路 图 及C ,A ,B 波 形 , 试 画 出 输 出Q 的 波 形, 并 写 出D 的 逻 辑 式( 设 Q 的 初 始 状 态 为“0”)。

C

A

A

B

B

Q

41.555集成定时器是()。

(a) 模拟电路的电子器件

(b) 数字电路的电子器件

(c)模拟电路和数字电路相结合的电子器件

42.由555集成定时器构成的多谐振荡器的功能是()。

(a)输出一定频率的矩形波

(b) 将变化缓慢的信号变为矩形波

(c)输出一定频率的正弦波

43.时序电路如图所示,原状态为“11”,送入三个C脉冲后的新状态为()。

(a) “1 0”(b) “0 0”(c) “1 1”(d) “0 1”

44.计数器如图所示,原状态为“0 0”,送一个C脉冲后的新状态为()。

(a) “1 1” (b) “1 0” (c) “0 1”

45.逻辑电路如图所示,各触发器的初始状态为“0”,试根据C 脉冲的波

形,画出输出Q

0,

Q

1,

Q

2的波形。

C Q 0Q 1

Q 2

46.已 知 逻 辑 电 路 图 及C 脉 冲 的 波 形 , 试 写 出 各 触 发 器J ,K 端 的 逻 辑 式

并 列 出 各 Q 的 状 态 表(设 Q 0,Q 1,Q 2 初 始 状 态 均 为“0”)。

Q 1

Q 0Q 2

C

47.已 知 逻 辑 电 路 图 和 C 脉 冲 的 波 形 , 试 画 出 输 出 Q 0 及Q 1 的 波 形

图 (设Q 0,Q 1 初 始 状 态 均 为“1”)。

Q 1

Q 1

D 1

S D 1

R D 1

Q 0

Q 0

D 0

S D 0

R D 0

?

?

C

C

Q 0Q 1

Q 0

Q 1

C

C

48. 已 知 逻 辑 电 路 图 及C ,R D 和D 的 波 形, 试 画 出 Q 0,Q 1 的 状 态 表 (设

Q 0,Q 1 的 初 始 状 态 均 为“0”)。

S D R R D

C C

49. 已 知 逻 辑 电 路 图 及 C 脉 冲 的 波 形, 试 画 出 输 出 Q 0,Q 1 的 波 形 并 写

出 其 状 态 表 (设 Q 0,Q 1 的 初 始 状 态 均 为“0”)。

50. 分析图示计数器电路。

1.写出电路的驱动方程、状态方程。 2.列出电路的状态转换表。 3.说明计数器的类型。(同步,异步;加法,减法;几进制) 4.判断此电路能否自启动。

1

52. 、分析题

电路如图所示,试分析电路的逻辑功能。

(1)写出驱动方程 (2)写出状态方程 (3)画出状态表或状态转换图

(4)说明其逻辑功能。(注意检查电路能否自启动)

53. 以

4位二进制同步计数器74161为核心,构成如图所示的计数器。

⑴试分析这是几进制计数器,画出状态转换图;

⑵标出EP 、ET 和D R 端应置何种状态(1态或0态)。 (10分)

54. 利用中规模集成计数器

74290的清零方式构成七进制计数器。(8分)

(1) 画出状态转换图;

(2) 写出归零逻辑表达式; (3) 画出连线图。(可直接画在下面图上)

附表1 74290功能表

111

55. 1.利用中规模集成记数器74161的清零方式构成七进制计数器。画出状态转换图;写出归零逻辑表达式;标出EP,ET状态,画出连线图。(可直接画在下面图上)

附表1 74161功能表

数电习题及答案

一、 时序逻辑电路与组合逻辑电路不同, 其电路由 组合逻辑电路 和 存储电路(触发器) 两部分组成。 二、描述同步时序电路有三组方程,分别是 驱动方程 、状态方程 和 输 出方程 。 三、时序逻辑电路根据触发器的动作特点不同可分为 同步时序逻辑电路 和 异步时序逻辑电路 两大类。 四、试分析图 T7.5时序电路的逻辑功能,写出电路的驱动方程、状态方程 和输出方程,画出电路的状态转换图和时序图。 解:驱动方程: 00110 1J K J K Q ==== 状态方程: 1001 1 10 10n n Q Q Q Q Q Q Q ++==+ 输出方程: 10Y Q Q = 状态图:功能:同步三进制计数器

五、试用触发器和门电路设计一个同步五进制计数器。 解:采用3个D触发器,用状态000到100构成五进制计数器。 (1)状态转换图 (2)状态真值表 (3)求状态方程

(4)驱动方程 (5)逻辑图(略) [题7.1] 分析图P7.1所示的时序电路的逻辑功能,写出电路驱动方程、状态转移方程和输出方程,画出状态转换图,并说明时序电路是否具有自启动性。 解:触发器的驱动方程 2 0010210 10 21 1 J Q K J Q J Q Q K Q K ====???? ? ? ==??? 触发器的状态方程

1 20 0 1 10 101 1 2 210 n n n Q Q Q Q Q Q Q Q Q Q Q Q + + + = =+ = ? ?? ? ? ?? 输出方程 2 Y Q = 状态转换图如图A7.1所示 所以该电路的功能是:能自启动的五进制加法计数器。 [题7.3] 试分析图P7.3时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,并检查电路能否自启动。 解:驱动方程 输出方程 状态方程 状态转换图如图 A7.3所示 01 J X Q =⊕01 K= 10 J X Q =⊕ 1 1 K= 10 () Z X Q Q =⊕? 1 0000010 () n Q J Q K Q X Q Q +=+=⊕ 1 1111101 () n Q J Q K Q X Q Q +=+=⊕?

数字电路经典笔试题目汇总

数字电路笔试汇总 2、什么是同步逻辑和异步逻辑?(汉王笔试) 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 電路設計可分類為同步電路和非同步電路設計。同步電路利用時鐘脈衝使其子系統同步運作,而非同 步電路不使用時鐘脈衝做同步,其子系統是使用特殊的“開始”和“完成”信號使之同步。由於非同步電 路具有下列優點--無時鐘歪斜問題、低電源消耗、平均效能而非最差效能、模組性、可組合和可複用性-- 因此近年來對非同步電路研究增加快速,論文發表數以倍增,而Intel Pentium 4處理器設計,也開始採用 非同步電路設計。 异步电路主要是组合逻辑电路,用于产生地址译码器、FIFO或RAM的读写控制信号脉冲,其逻 辑输出与任何时钟信号都没有关系,译码输出产生的毛刺通常是可以监控的。同步电路是由时序电路(寄存 器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路 共享同一个时钟CLK,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。 3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试) 线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现(漏极或者集电极开路),由于不用oc门可能使灌电流过大,而烧坏逻辑门,同时在输出端口应加一个上拉电阻。(线或则是下拉电阻) 4、什么是Setup 和Holdup时间?(汉王笔试) 解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA 2003.11.06 上海笔试试题) Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信 号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下 一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不 变的时间。如果hold time不够,数据同样不能被打入触发器。 建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前,数据信号需要保持不 变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

北京理工大学数电期末试卷(含答案)

北京理工大学数电期末试卷(含答案)

课程编号:ELC06011 北京理工大学2010-2011学年第二学期 2009级数字电子技术基础B 期末试题A 卷 注:试题答案必须书写在答题纸上,在试题和草稿纸上答题无效。 班级 学号 姓名 成绩 一、(20分)填空 1.在如下门电路中,哪些输出端能够直接互连 bcde 。若输出端不能互连,为什么? 输出都呈现低阻抗,如果相连,如果一个门工作在高电平, 一个门工作在低电平,会使两个门内部形成过电流而损坏器件67 a ) 普通TTL 门电路;b )普通CMOS 门电路;c )OC 门;d )三态输出门; e )OD 门。 2.一个4位D/A 转换器的分辨率为 1/15 1/(2^n-1) ,若参考电压V REF = 6V ,当输入码为0110时,输出电压为 6/16*(8*0+4*1+2*1+1*0)=2 V 。 3.存储容量为2K ×8位的随机存储器,地址线为 11(2的几次方就是十几根) 根,数据线为 8 根;若用1K ×4位的RAM 来实现上述存储容量,需要4 片。 4.A/D 转换器一般需要经过采样、保持、 量化 、 编码 4个过程。 5.单稳态触发器输出脉冲的频率取决于 ,输出脉冲的宽度取决于 。 6.施密特触发器有 2 个稳定状态,单稳态触发器有 1 个稳定状态,多谐振荡器 0 个稳定状态。 7.ROM 设计的组合逻辑电路如图T1所示,写出逻辑函数0Y 和1Y 的表达式。 0Y = ∑(m1,m2,m6) ,1Y = ∑(m0,m1,m5) 。

A B 0Y 1 Y 0123C 4567 图T1 二、(10分) 将下列各式化简为最简与或式,方法不限。 1.CD D AC ABC C A F 1+++= 2.CD B BCD A C B A D C AB F 2+++=,约束条件:B ? C ?+A ?CD ?=0 答案略 三、(10分) 已知图T3中(a )(b )(c )为TTL 门电路,(d )(e )为CMOS 门电路,分别写出各电路的输出状态(0或1或高阻)或输出表达式。 V Ω 1001 Y A B C D R V CC 2 IL V 3 Y IH V 0 (a ) 高电平 V L 代表低电平(b )cmos ,ABCD (c )高阻

数电试题及答案

通信071?5 班 20 08?20 09 学年第二学期 《数字电子技术基础》 课试卷试卷 类型:A ■卷 单项选择题(每小题2分,共24 分) 1、 8421BCD 码01101001.01110001转换为十进制数是: A : 78.16 B : 24.25 C : 2、 最简与或式的标准是: (c ) A:表达式中乘积项最多,且每个乘积项的变量个数最多 变量个数最多 C:表达式中乘积项最少,且每个乘积项的变量个数最少 变量个数最多 3、 用逻辑函数卡诺图化简中,四个相邻项可合并为一项 A :消去1个表现形式不同的变量,保留相同变量 B:消去2个表现形式不同的变量,保留相同变量 C :消去3个表现形式不同的变量,保留相同 D:消去4个表现形式不同的变量,保留相同变量 4、 已知真值表如表 1所示,则其逻辑表达式为: A: A ? B ? C B: AB + BC C: AB + BC D: ABC (A+B+C ) 5、 函数 F(A , A: F(A,B,C)= B: F(A,B,C)= C: F(A,B,C)= D: F(A,B,C)= B ,C)=AB+BC+AC 的最小项表达式为: E m E m E m E m (0, (3, (0, (2, 2, 5, 2, 4, 4) 6, 3, 6, 7) 4) 7) 6、 欲将一个移位寄存器中的二进制数乘以( A: 32 B : 10 7、 已知74LS138译码器的输入三个使能端( E 1=1, 是:(C ) A :::: (c 69.71 ,它能: 变量 32) 10需要 n 1 n = Q ,JK 触发器的J 、K 取值应是: B: J=0, K=1 (B ) B :集电极开路门 D : 54.56 B :表达式中乘积项最少,且每个乘积项的 D:表达式中乘积项最多,且每个乘积项的 (B ) (B ) ( C : _ E 2A =E 2B = 0 ) )个移位脉冲。 D : 6 _ _ A 2A 1A O =011,则输岀 丫厂?丫0 时,地址码 8、 要实现Q =Q A: J=0, K=0 9、 能够实现线与功能的是: A: TTL 与非门 10、 个四位串行数据,输入四位移位寄存器,时钟脉冲频率为 输岀。 A : 8ms B : 4ms 11、 表2所列真值表的逻辑功能所表示的逻辑器件是: A B C D (D ) C: J=1, K=0 D : J=1, K=1 C :三态逻辑门 1kHz ,经过 D : CMOS 逻辑门 B )可转换为4位并行数据 译码器 选择器 优先 编码器 比 较器 输入 I 7 I 6 I 5 I 4 I 3 I 2 I 1 12、 A: B: C: D: 图1所示为2个4位二进制数相加的串 11000 11001 10111 10101 接全力X 器逻辑电路图X 运算后 的 0 0 0 0 0 0 0 1 0 0 0 0 0 X 1 0 0 图 31 0 0 (A )

数字电路期末模拟考试试题及答案

数字电路期末模拟考试 试题及答案 内部编号:(YUUT-TBBY-MMUT-URRUY-UOOY-DBUYI-0128)

数字电子电路模拟试题-2 一、填空题(共30分) 1. 三极管有NPN和PNP两种类型,当它工作在放大状态时,发射结___ _,集电结______;NPN型三极管的基区是______型半导体,集电区和发射区是______型半导体。 2. 把高电压作为逻辑1,低电平作为逻辑0的赋值方法称作_______ 逻辑赋值。一种电路若在正逻辑赋值时为与非门,则在负逻辑赋值时为________。 3. 四位二进制编码器有____个输入端;____个输出端。 4. 将十进制数287转换成二进制数是________;十六进制数是__ _____。 5. 根据触发器功能的不同,可将触发器分成四种,分别是____触发器、 6. 下图所示电路中, 7. Y 2 二、选择题(共 20分) 1. 当晶体三极管____时处于饱和状态。 A. 发射结和集电结均处于反向偏置 B. 发射结正向偏置,集电结反向偏置 C. 发射结和集电结均处于正向偏置

2. 在下列三个逻辑函数表达式中,____是最小项表达式。 A . B A B A )B ,A (Y += B. C B C B A BC A )C ,B ,A (Y ++= C. C AB ABC B C A C B A ) D ,C ,B ,A (Y +++??= 3.用8421码表示的十进制数45,可以写成__________ A .45 B. [101101]BCD C. [01000101]BCD D. [101101]2 4.采用OC 门主要解决了_____ A .TTL 与非门不能相与的问题 B. TTL 与非门不能线与的问题 C. TTL 与非门不能相或的问题 5.已知某触发的特性表如下(A 、B 为触发器的输入)其输出信号的逻辑表达式为___ A . Q n+1 =A B. n n 1n Q A Q A Q +=+ C. n n 1n Q B Q A Q +=+ 三、化简下列逻辑函数,写出最简与或表达式:(共20分) 1. BC A C B A C B B A Y 1+?++= 2. Y 2=Σm (0,1,8,9,10,11) 3. Y 3见如下卡诺图

数电试题库(新)

第一、二章数制转换及逻辑代数 一、完成下列数制转换 (11001)2=()10;(32)10=()2;(110101.01)2=()10 (132.6)10=()8421BCD; 二、试分别用反演规则和对偶规则写出下列逻辑函数的反函数式和对偶式。 1、Y=错误!未找到引用源。+CD 2、Y=错误!未找到引用源。C 3、Y=错误!未找到引用源。D 4、Y= A错误!未找到引用源。B 5、Y=A+错误!未找到引用源。 6、Y=ABC+错误!未找到引用源。错误!未找到引用源。 三、用公式法化简为最简与或式: 1、Y=错误!未找到引用源。C+错误!未找到引用源。A 2、Y=错误!未找到引用源。错误!未找到引用源。C+错误!未找到引用源。BC+A 错误!未找到引用源。C+ABC 3、Y=错误!未找到引用源。(A+B) 4、Y=A错误!未找到引用源。(C+D)+D+错误!未找到引用源。 5、C B C B B A B A Y+ + + = 四、证明利用公式法证明下列等式 1、错误!未找到引用源。错误!未找到引用源。+错误!未找到引用源。错误!未找到引用源。+BC+错误!未找到引用源。错误!未找到引用源。错误!未找到引用源。=错误!未找到引用源。+ BC 2、AB+BCD+错误!未找到引用源。C+错误!未找到引用源。C=AB+C 3、A错误!未找到引用源。+BD+CBE+错误!未找到引用源。A错误!未找到引用源。+D 4、AB+错误!未找到引用源。错误!未找到引用源。+ A错误!未找到引用源。+错误!未找到引用源。B=错误!未找到引用源。) 5、AB(C+D)+D+错误!未找到引用源。(A+B)(错误!未找到引用源。+错误!未找到引用源。)=A+B错误!未找到引用源。+D 五、用卡诺图化简函数为最简与-或表达式 1、Y(A,B,C,D)=错误!未找到引用源。B+错误!未找到引用源。C+错误!未找到引用源。错误!未找到引用源。+AD 2、Y(A,B,C,D)=错误!未找到引用源。错误!未找到引用源。C+AD+错误!未找到引用源。(B+C)+A错误!未找到引用源。+错误!未找到引用源。错误!未找到引用源。 3、Y(A,B,C,D)=错误!未找到引用源。 4、Y(A,B,C,D)=错误!未找到引用源。 六、选择题 1. 在N进制中,字符N的取值范围为:() A.0 ~ N B.1 ~ N C.1 ~ N -1 D.0 ~ N-1 3. 二进制数1110111.11转换成十进制数是() A.119. 125 B.119. 3 C.119 . 375 D.119.75 4、数字信号的特点是() A.在时间上和幅值上都是连续的。B.在时间上是离散的,在幅值上是连续的。 C.在时间上是连续的,在幅值上是离散的。D.在时间上和幅值上都是不连续的。 5、下列各门电路符号中,不属于基本门电路的是()

北京理工大学数电期末试卷(含答案)

课程编号:ELC06011 理工大学2010-2011学年第二学期 2009级数字电子技术基础B 期末试题A 卷 注:试题答案必须书写在答题纸上,在试题和草稿纸上答题无效。 班级 学号 成绩 一、(20分)填空 1.在如下门电路中,哪些输出端能够直接互连 bcde 。若输出端不能互连,为什么? 输出都呈现低阻抗,如果相连,如果一个门工作在高电平, 一个门工作在低电平,会使两个门部形成过电流而损坏器件67 a ) 普通TTL 门电路;b )普通CMOS 门电路;c )OC 门;d )三态输出门; e )OD 门。 2.一个4位D/A 转换器的分辨率为 1/15 1/(2^n-1) ,若参考电压V REF = 6V ,当输入码为0110时,输出电压为 6/16*(8*0+4*1+2*1+1*0)=2 V 。 3.存储容量为2K ×8位的随机存储器,地址线为 11(2的几次方就是十几根) 根,数据线为 8 根;若用1K ×4位的RAM 来实现上述存储容量,需要 4 片。 4.A/D 转换器一般需要经过采样、保持、 量化 、 编码 4个过程。 5.单稳态触发器输出脉冲的频率取决于 ,输出脉冲的宽度取决于 。 6.施密特触发器有 2 个稳定状态,单稳态触发器有 1 个稳定状态,多谐振荡器 0 个稳定状态。 7.ROM 设计的组合逻辑电路如图T1所示,写出逻辑函数0Y 和1Y 的表达式。 0Y = ∑(m1,m2,m6) ,1Y = ∑(m0,m1,m5) 。

A B 0Y 1 Y C 图T1 二、(10分) 将下列各式化简为最简与或式,方法不限。 1.CD D AC ABC C A F 1++ += 2.CD B BCD A C B A D C AB F 2+++=,约束条件:B ? C ?+A ?CD ?=0 答案略 三、(10分) 已知图T3中(a ) (b )(c )为TTL 门电路,(d )(e )为CMOS 门电路,分别写出各电路的输出状态(0或1或高阻)或输出表达式。 V 1 Y A B C D 2 V 3 Y V 0 (a ) 高电平 V L 代表低电平(b )cmos ,ABCD (c )高阻 4 Y A B 5 Y IH V (d ) CMOS 高阻 (e )高电平 图T3 四、(10分)

(完整版)数电试题及答案

通信 071~5 班 20 08 ~20 09 学年 第 二 学期 《数字电子技术基 础》 课试卷 试卷类型: A 卷 一、 单项选择题(每小题2分,共24分) 1、8421BCD 码01101001.01110001转换为十进制数是:( ) A :78.16 B :24.25 C :69.71 D :54.56 2、最简与或式的标准是:( ) A :表达式中乘积项最多,且每个乘积项的变量个数最多 B :表达式中乘积项最少,且每个乘积项的变量个数最多 C :表达式中乘积项最少,且每个乘积项的变量个数最少 D :表达式中乘积项最多,且每个乘积项的变量个数最多 3、用逻辑函数卡诺图化简中,四个相邻项可合并为一项,它能:( ) A :消去1个表现形式不同的变量,保留相同变量 B :消去2个表现形式不同的变量,保留相同变量 C :消去3个表现形式不同的变量,保留相同变量 表1 D :消去4个表现形式不同的变量,保留相同变量 4、已知真值表如表1所示,则其逻辑表达式为:( ) A :A ⊕B ⊕C B :AB + BC C :AB + BC D :ABC (A+B+C ) 5、函数F(A ,B ,C)=AB+BC+AC 的最小项表达式为:( ) A :F(A,B,C)=∑m (0,2,4) B :F(A,B,C)=∑m (3,5,6,7) C :F(A,B,C)=∑m (0,2,3,4) D :F(A,B,C)=∑m (2,4,6,7) 6、欲将一个移位寄存器中的二进制数乘以(32)10需要( )个移位脉冲。 A :32 B : 10 C :5 D : 6 7、已知74LS138译码器的输入三个使能端(E 1=1,E 2A =E 2B =0)时,地址码A 2A 1A 0=011,则输出Y 7 ~Y 0是:( ) A :11111101 B :10111111 C :11110111 D :11111111 8、要实现n 1n Q Q =+,JK 触发器的J 、K 取值应是:( ) A :J=0,K=0 B :J=0,K=1 C :J=1,K=0 D :J=1,K=1

数电往年考题

09年 5、电路如图1.2所示,TG 为CMOS 传输门,G 为TTL 与非门,则当C=0时 P= ;当C=1时P= 。 B & TG A C C Ω k 10P G 图1.2 6. 当TTL 门电路的输入端悬空时,应视为 (高电平,低电平,不定)。此时,如用万用表测量其输入端电压,读数约为 (0V ,1.4V ,3.6V )。 六、(4分)写出图6所示TTL 门电路构成的组合电路的输出表达式。 图6 七、(6分)写出图7所示电路的逻辑表达式,列出真值表,说明电路逻辑功能。 A B C 图7 八(8分)将图8(a)所示电路用其他器件实现,要求直接在图上画出连线。 (1) 改用3线/8线译码器74LS138和适当的门实现该逻辑电路,图8(b)为 74LS138符号图; (2) 改用8选一数据选择器实现,图8 (c )为8选一数据选择器逻辑符号。 P 图8(a )

图8(b ) 08年 4.函数式D C AB F ++=,写出其对偶式='F ()A B C D +。 5.由TTL 与非门组成的电路如图1-2所示。设与非门输出高电平U OH =3.6V ,低电平为U OL =0.3V ,电压表内阻为20k Ω/V 。当输入ABC =000,开关S 断开时,用万用表测出U 1= 1.4V ,U 2= 0.3V ;当输入ABC =101,开关S 闭合时,U 1= 0.3V ,U 2= 3.6V 。 A B C 图1-2 6.对CMOS 或非门电路,判断下面结论对错: (1)输入端悬空可能造成逻辑出错; (对) (2)输入端对地接大电阻(如510 k Ω)相当于接高电平1; (错) (3)输入端对地接小电阻(如510 Ω)相当于接低电平0; (对) 7.CMOS 电路如图1-3所示,TG 为CMOS 传输门,G 为TTL 与非门,则C=0, P= 0 ; G G G 1 2A

(完整版)华南理工大学数字电子技术试卷(含答案)

诚信应考,考试作弊将带来严重后果! 华南理工大学期末考试 《数字电子技术》试卷A 注意事项:1. 考前请将密封线内填写清楚; 2. 所有答案请直接答在试卷上(或答题纸上); 3.考试形式: 闭卷; 。 题号一二三四总分 得分 评卷人 一. 单项选择题:(在每小题的备选答案中选出一个正确的答案,并将正确答案 10 题号 123456789 答案 1 A.10000000 B. 000100101000 C.100000000 D.100101000 2.已知函数F的卡诺图如图1-1, 试求其最简与 或表达式 3. 已知函数的反演式为,其 原函数为()。 A. B . C. D. 4.对于TTL数字集成电路来说,下列说法那个是错误的: (A)电源电压极性不得接反,其额定值为5V; (B)不使用的输入端接1; (C)输入端可串接电阻,但电阻值不应太大; (D)OC门输出端可以并接。 5.欲将正弦信号转换成与之频率相同的脉冲信号,应用 A.T,触发器 B.施密特触发器

C.A/D转换器 D.移位寄存器 6.下列A/D转换器中转换速度最快的是()。 A.并联比较型 B.双积分型 C.计数型 D.逐次渐近型 7. 一个含有32768个存储单元的ROM,有8个数据输出端,其地址输入端有()个。 A. 10 B. 11 C. 12 D. 8 8.如图1-2,在TTL门组成的电路中,与非门的输入电流为I iL≤–1mA?I iH≤20μA。G1输出低电平时输出电流的最大值为I OL(max)=10mA,输出高电平时最大输 出电流为I OH(max)=–0.4mA 。门G1的扇出系数是()。 A. 1 B. 4 C. 5 D. 10 9.十数制数2006.375转换为二进制数是: A. 11111010110.011 B. 1101011111.11 C. 11111010110.11 D. 1101011111.011 10. TTL或非门多余输入端的处理是: A. 悬空 B. 接高电平 C. 接低电平 D.接”1” 二.填空题(每小题2分,共20分) 1.CMOS传输门的静态功耗非常小,当输入信号的频率增加时,其功耗将______________。 2. 写出四种逻辑函数的表示方法: _______________________________________________________________; 3.逻辑电路中,高电平用1表示,低电平用0表示,则称为___逻辑; 4. 把JK触发器改成T触发器的方法是_____________。 5.组合逻辑电路是指电路的输出仅由当前的_____________决定。 6.5个地址输入端译码器,其译码输出信号最多应有_____________个。 7.输入信号的同时跳变引起输出端产生尖峰脉冲的现象叫做_____________。8.一片ROM有10根地址线,8根数据输出线,ROM共有________个存储单元。9.N个触发器组成的计数器最多可以组成_____________进制的计数器。 8.基本RS触发器的约束条件是_____________。 三.电路分析题(36分) 1.图3-1(a)所示电路, 移位寄存器原来的数据是,数据从Di顺序输入到移位寄存器,试问: (1) 在图3-1(b)所示输入波形作用下,在T1到T2期间,输出端X、Y的波形? (2) 该电路的逻辑功能?(12分)

最新数电试题库试卷1

1.将二进制数化为等值的十进制和十六进制: (1100101)2=( 101 )10 =( 65 )16 2.写出下列二进制数的原码和补码: (-1011)2=( 11011 )原=( 10101 )补 Y的电平依次为3.输出低电平有效的3线– 8线译码器的输入为110时,其8个输出端0 7~Y 10111111 。 *; 4.写出J、K触发器的特性方程:Q Q+ = Q K J 5. TTL集电极开路门必须外接__上拉电阻______才能正常工作。 1.余3码10001000对应的8421码为(A )。 A.01010101 B.10000101 C.10111011 D.11101011 2.使逻辑函数) B A B =为0的逻辑变量组合为( D ) C + + F+ (C A ' ' )( ' ' )( A. ABC=000 B. ABC=010 C. ABC=011 D. ABC=110 3.标准或-与式是由( C )构成的逻辑表达式。 A.与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 4. 由或非门构成的基本R、S触发器,则其输入端R、S应满足的约束条件为(B)。 A. R+S=0B. RS=0C. R+S=1D.RS=1 5.一个8选一数据选择器的地址输入端有(C )个。 A.1 B.2 C.3 D.8 6.RAM的地址线为16条,字长为32,则此RAM的容量为( D )。 A.16×32 位 B. 16K×32位 C. 32K×32位 D.64K×32位 7.要使JK触发器在时钟作用下的次态与现态相反,JK端取值应为(D )。 A.JK=00 B. JK=01 C. JK=10 D. JK=11 8. 用8个触发器可以记忆( D )种不同状态. A.8 B.16 C.128 D.256 9. 多谐振荡器可以产生下列哪种波形( B ) A.正弦波 B.矩形脉冲 C.三角波 D.锯齿波 10.输出在每个时钟周期翻转一次的触发器是( A )。

山东大学数电试卷c

试题C 一、选择题(从每小题的四个备选答案中,选出一个正确答案,并将其号码填在括号内, 每小题2分,共20分) 1.将十进制数(18)10转换成八进制数是[ ] ①20 ②22 ③21 ④23 2. 三变量函数 ()BC A C B A F+ = , ,的最小项表示中不含下列哪项[ ] ①m2 ②m5 ③m3 ④m7 3.一片64k×8存储容量的只读存储器(ROM),有[ ] ①64条地址线和8条数据线②64条地址线和16条数据线 ③16条地址线和8条数据线④16条地址线和16条数据线 4.下列关于TTL与非门的输出电阻描述中,正确的是[ ] ①门开态时输出电阻比关态时大②两种状态都是无穷大输出电阻 ③门关态时输出电阻比开态时大④两种状态都没有输出电阻 5.以下各种ADC中,转换速度最慢的是[ ] ①并联比较型②逐次逼进型 ③双积分型④以上各型速度相同 6. 关于PAL器件与或阵列说法正确的是[ ] ①只有与阵列可编程②都是可编程的 ③只有或阵列可编程④都是不可编程的 7. 当三态门输出高阻状态时,输出电阻为[ ] ①无穷大②约100欧姆 ③无穷小④约10欧姆 8.通常DAC中的输出端运算放大器作用是[ ] ①倒相②放大 ③积分④求和 9. 16个触发器构成计数器,该计数器可能的最大计数模值是[ ] ①16 ②32 ③162④216 10.一个64选1的数据选择器有()个选择控制信号输入端。[ ]

① 6 ② 16 ③ 32 ④ 64 二、填空题(把正确的内容填在题后的括号内。每空1分,共15分。) 1.已知一个四变量的逻辑函数的标准最小项表示为 ()()13,11,9,8,6,4,3,2,0,,,m d c b a F ∑=,那么用最小项标 准表示=* F ,以及=F ,使用最大项 标准表示=F ,以及 =F 。 2.具有典型实用意义的可编程逻辑器件包括 , , , 。 3.为了构成4K ×16bit 的RAM ,需要 块1K ×8bit 的RAM ,地址线的 高 位作为地址译码的输入,地址译码使用的是 译码器。 4.在AD 的量化中,最小量化单位为Δ,如果使用四舍五入法,最大量化误差为 Δ,如果使用舍去小数法,最大量化误差为 Δ。 5.如果用J-K 触发器来实现T 触发器功能,则T,J,K 三者关系为 ;如果要用J-K 触发器来实现D 触发器功能,则D,J,K 三者关系为 。 三、 简答题(每小题5分,共10分) 1.用基本公式和定理证明下列等式: ()ABC BC A C AB B C AB ++=+ 2.给出J-K 触发器的特征方程,状态转移真值表,状态转移图。 四、 分析题(25分) 1.8选1数据选择器CC4512的逻辑功能如表4.1所示。试写出图4.1所示电路输出端 F 的最简与或形式的表达式。(9分) 表4.1 CC4512功能表 2. 如图4.2电路由CMOS 传输门构成。试写出输出端的逻辑表达式。(8分)

数电习题及答案

一、时序逻辑电路与组合逻辑电路不同,其电路由 组合逻辑电路 和 存储电路(触发器) 两部分组成。 二、描述同步时序电路有三组方程,分别是 驱动方程 、状态方程 和 输出方程 。 三、时序逻辑电路根据触发器的动作特点不同可分为 同步时序逻辑电路 和 异步时序逻辑电 路 两大类。 四、试分析图T7.5时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的 状态转换图和时序图。 解:驱动方程: 00110 1J K J K Q ==== 状态方程: 10011 10 10n n Q Q Q Q Q Q Q ++==+ 输出方程:10Y Q Q = 状态图:功能:同步三进制计数器 五、试用触发器和门电路设计一个同步五进制计数器。 解:采用3个D 触发器,用状态000到100构成五进制计数器。 (1)状态转换图

(2)状态真值表 (3)求状态方程 (4)驱动方程 (5)逻辑图(略) [题7.1] 分析图P7.1所示的时序电路的逻辑功能,写出电路驱动方程、状态转移方程和输出方程,画出状态转换图,并说明时序电路是否具有自启动性。

解:触发器的驱动方程 20010210 102 11J Q K J Q J Q Q K Q K ====???? ? ? ==??? 触发器的状态方程 120 01 1010112210 n n n Q Q Q Q Q Q Q Q Q Q Q Q +++==+=??????? 输出方程 2Y Q = 状态转换图如图A7.1所示 所以该电路的功能是:能自启动的五进制加法计数器。 [题7.3] 试分析图P7.3时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,并检查电路能否自启动。 解:驱动方程 01J X Q =⊕01 K =10 J X Q =⊕11 K =

数电考试试卷

四、(10分)如下图所示为由维持—阻塞边沿D 触发器和主从型J-K 触发器组成的电路。试画出触发器输出端Q 1、Q 2的波形(设触发器初始状态均为0)。 四、(10分) )(Q Q Q Q Q K Q J Q )C (Q D Q 12n 12n 12n 2n 12n 2n 11n ↓=+=+=↑==++CP Q P n n n 五、(15分)如下面左图所示为由八选一数据选择器实现的函数F 。 (1)试写出F 的表达式。 (2)用右边的3-8译码器74LS138及若干个与非门实现函数F 。 五、(15分) )4,3,1,0(),,(11m D B A F D B A BD A D B A D B A D A D B D B A BD A B A D C B A D C B A BCD A D C B A C B A C B A F ∑=+++=+=++=++++?+?=即 表达式4分 3分 3分 表达式7分 逻辑图8分

二、化简逻辑函数(5分) Z F A B C D =(,,,)=m d (,,,,,,)(,)3589111314 015+∑∑。 二、卡诺图如下图所示,Z ABC BCD BCD ABC =+++. (5分) 三、用四位同步二进制计数器CT74161、3线-8线译码器CT74138和少量的与非门设计一个函数发生器,使其产生10110101序列信号。(10分) 三、(共10分) 1、因序列长度S=8,可用CT74161设计一个模8计数器,有效状态为Q D Q C Q B Q A =0000~0111。如采用同步预置法,电路如下图(a)所示,如采用反馈清零(异步)法,电路如图(b)所示。(2分) 3、产生10110101序列码的电路如下所示:(5分) 7 5320Y Y Y Y Y ????=2、用译码器CT74138实现组合输出电路,列真值表如左所示: 故得到组合输出为:(3分) Z= ∑m (0,2,3,5,7)=Y 0+Y 2+Y 3+Y 5+Y 7

数电试题

1. (30.25) 10 = ( )2 = ( )16 2. 三态门输出的三种状态分别为:、和。 3 . 主从型JK触发器的特性方程Q^(N+1)= 。 4 . 用4个触发器可以存储位二进制数。13、正逻辑的与门是负逻辑的;正逻辑或门是负逻辑的。 14、正逻辑的或非门是负逻辑的;正逻辑的与非门是负逻辑的。 15、在TTL三态门、OC门、与非门|异或门和或非门电路中,能实现“线与”逻辑功能的门为,能实现总线系统的门为。 16、TTL与非门的关门电平为0.7V,开门电平为1.9V,当其输入低电平为0.4V,高电平为3.2V时,其低电平输入噪声容限V NL为,输入高电平噪声容限为。 17.任意两个最小项之积恒为,全体最小项之和恒为。 18、逻辑函数F的卡诺图若全为1格,对应F= 。 19、通常逻辑函数的表示方法有、、和四种。 20、组合逻辑电路是指任何时刻电路的输出仅由当时的决定。 21、将本位的两个数和来自低位的进位数三者相加,这种加法运算称为。 22、在一系列异或逻辑运算中,当输入码中的1的个数为数个时,其输出为1。 23、一个二进制编码器若需要对12个输入信号进行编码,则要采用位二进制代码。 24、三变量输入译码器,其译码输出信号最多应有个。 25、用二进制表示有关对象(信号)的过程叫。一位二进制代码可以表示 信号。 26、若用一个四——十六线的译码器(高电平输出有效)实现函数F(A,B,C,D)=∑m(3,5,7,9,11,13)的表达式是F(A,B,C,D)= .。 57、一个二——十进制译码器规定为输出低有效,则当输入8421BCD码为0110时,其输出Y9 Y8 Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y0= 。 58、列出半加器的真值表: 59全加器与半器的区别。 60、固定ROM主要由地址译码器、和输出电路三部分组成。 62、按照电路组成和逻辑功能的不同,数字逻辑电路可分为: 65、一片4K?8的ROM的存贮器有个字,字长为位,有个片选端和根地址线。66、由与非门构成的基本RS触发器约束条件是。 69、主从RS触发器从根本上解决了基本RS触发器的 问题。 70、边沿JK触发器解决了主从JK触发器的 问题。 71、根据在CP控制下,逻辑功能的不同,常把时钟触发器分为五种类型。 72、JK触发器的特性方程为。 78、所谓时序电路是指电路的输出不仅与当时的 有关,而且与电路的有关。 79、在工作速度要求较高时,在同步计数器和异步计数器两者之中,应选用。 80、三级触发器若构成环型计数器,其模值为,若构成扭环型计数器,则其模值为。 81、由四个触发器构成的寄存器可以存入位 二进制代码。 89、由四个触发器构成计数器,它的计数状态最多为 个。 90、一个4K?8的RAM,有个8位字长的存储器,有根地址线和根数据线。 91、若需要将缓慢变化的三角波信号转换成矩形波,则采用电路。 92、对于微分型单稳态电路,正常工作时其输入脉冲宽度应输出脉冲宽度。 95、单稳态触发器有一个态和一个态。 96、石英晶体多谐振荡器的振频率仅决定于晶体本身的,而与电路中的 数值无关。 97、欲把输入的正弦波信号转换成同频的矩形波信号,可采用电路。 98、常用脉冲整形电路有和 两种。 99、施密特触发器有个稳定状态,多谐振荡 器有个稳定状态。 5.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 6.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC

(完整版)数电模拟考试题

一、选择题、 1.逻辑函数中A.B.C三个变量中,最小应有个。 A.2 B.4 C.8 D.16 2.当逻辑函数有n个变量时,共有个变量取值组合? A.n B.2n C.n2 D.2n 3.一个8选一数据选择器的数据输入端由个。 A.1 B.2 C.3 D.8 4.对于JK触发器,若J=K,则可完成触发器的逻辑功能: A.RS B.D C.T D.T’ 5.一位8421BCD码计时器至少需要个触发器。 A.3 B.4 C.5 D.10 二判断题、 1.数字电路中用“1”和“0”表示两种状态,二者无大小之分() 2.若两个函数具有相同的真值表,则两个逻辑函数必然相等。() 3.当TTL与非门的输入端悬空时相当于输入为逻辑1。() 4.组合逻辑电路中产生竞争冒险的主要原因是输入信号受到尖峰干扰。() 5.对边沿JK触发器,在CP为高电平期间,当J=K=1时,状态会翻转一次() 三、填空题 1.数/模转换器是将进制数字量转换成信号输出。 2.逻辑函数的常用表示方法、、。 3.对于共阳接法的发光二极管数码显示器,应采用驱动的七段显示译码器。4.制度存储器是用来存放固定不变的二进制数码,在正常工作时,只能存储代码,而不能存储代码,当时去电源后,其信息代码不会。 5.将模拟信号转换为数字信号,需要经过、、、四个过程。 四、用代数法化简函数 Z=AB+ABC 五、用卡诺图法化简下式。 {F(A、B、C、D)=∑m(0、1、3、5、8、9)} (约束条件)AB+AC=0 Y=AC+ABC+A BC

七、试用与非门设计一个三人表决电路(输入只提供原变量) 八、分析时序电路的逻辑功能,写出电路的曲弓方程和输出方程,画出状态转换图和时序图。 九、如下图所示维持阻塞D触发器,设初态为0,根据CP脉冲及A输入波形画出Q波形。 十、试分析下图所示电路画出它的状态图,说明它是几进制计数器

相关文档
相关文档 最新文档