文档库 最新最全的文档下载
当前位置:文档库 › 数字逻辑实验教案

数字逻辑实验教案

数字逻辑实验教案
数字逻辑实验教案

滁州学院计算机与信息工程学院

实验课程教案

课程名称:数字电路分析与设计

授课教师:姚光顺

授课对象:11网工、计科

授课时间:20XX年2月-2012月7月

滁州学院计算机与信息工程系

20XX年2月

《数字逻辑》实验教学大纲

课程编号:

课程名称:数字逻辑

英文名称:Digital Logic

课程类型:专业基础课

课程属性:独立设课

总学时:16总学分:0.5

开设学期:2

适用专业:计算机科学与技术网络工程

先修课程:大学物理电路原理

一、实验课程简介

数字逻辑实验,是数字逻辑课程教学内容的延伸和加强。在电子产品广泛应用的前提下,对于每一个大学生,具备一定电工电子基本知识和应用能力是必不可少的。因此,数字逻辑实验教学是按在相关理论教学的基础上,根据教学实际情况所开设的重点技术基础实验课程。通过实验,可以加深学生对课程内容中重点、难点的理解,培养其动手能力。

二、实验教学目标与基本要求

本课程的作用与任务是:使学生进一步掌握数字逻辑电路的分析与设计的基本方法,了解数字逻辑物理器件的主要技术参数,以及物理设计中的制作、调试、故障诊断的基本技能。要求学生在实验原理指导下,熟悉和掌握常用中、大规模集成电路的功能和在实际中应用的方法,具备基本电路的设计能力。培养学生检查与排除电路故障、分析和处理实验结果、分析误差和撰写实验报告的能力,旨在培养学生综合运用知识能力、严谨细致的工作作风和一丝不苟的科学态度。

三、本实验课程的基本理论与实验技术知识

本实验课基于门电路、组合逻辑电路、触发器、时序逻辑电路、A/D和D/A转换的基本理论而设定。

四、实验方法、特点与基本要求

实验分为实验预习、实验操作、实验总结三个步骤:

1、实验预习

1) 明确实验目的,理解实验原理;

2) 了解实验环境;

3) 了解实验方法,拟定实验的操作步骤;

2、实验操作

1) 建立实验环境,进行实验操作,培养实践动手能力

2) 实验过程中认真观察实验现象,详细记录实验结果

3) 实验结束前,整理好实验设备,经指导教师验收方可退出实验室

3、实验总结

通过对实验记录的整理,以加深对所学理论知识的理解,不断总结、积累经验,从而提高动手能力。

五、实验主要仪器设备

信号发生器、电压表、电流表、万用表、数字逻辑实验台

六、实验项目的设置与内容提要

七、实验报告要求

参照电子系实验报告要求完成。

实验报告是实验工作的全面总结,是教师考核学生实验成绩的主要依据。实验报告是学生分析、归纳、总结实验数据、讨论实验结果的书面记录。

实验报告要用规定的实验报告纸书写,其内容包括:实验名称、学生姓名、班号和实验日期、课程名称、实验目的和要求、实验仪器、设备与材料、实验原理、实验步骤、实验原始记录、实验数据、计算结果、实验结果分析、讨论与心得体会。

八、考核方式与成绩评定标准

1、本课程考试方法:考查

2、实验成绩:预习20%、操作30%、报告30%、原始记录20%。

3、本课程最终成绩=上课表现×0.1+实验成绩×0.2+课程考试成绩×0.7各部分成绩,以等级制(优[≥90]、良[80-89]、中[70-79]、及格[60-69]、不及格[〈60])记分。

九、推荐教材和教学参考书

教材:余孟尝主编,《数字电子技术基础简明教程》第三版,高等教育出版社,20XX年参考书:《电子技术基础》(第四版),康华光编,高等教育出版社,2000年

《数字电子技术基础》(第四版),阎石编,高等教育出版社,1998年

十、其他说明

大纲制订人:姚光顺董再秀赵亮

大纲审定人:

制订日期:年月日

第一讲实验软件的使用

一.教学目标

1.了解Multisim10软件的安装步骤;

2.了解Multisim10的基本操作;

3.了解Multisim10中虚拟仪器仪表的使用;

4.掌握利用逻辑转换仪由逻辑函数表达式求真值表、逻辑电路图等;

二.重难点分析

重点:利用逻辑转换仪由逻辑函数表达式求真值表、逻辑电路图等

难点:无

三.实验设备与器件

电脑

四.实验内容及过程

1.Multisim 10的菜单、工具栏、元器件库、仪器仪表库、电路创建的操作方法。主要包含有:

(1)NI multisim 10的基本界面、主窗口、菜单栏、工具栏

(2)NI multisim 10的元器件库

(3)NI multisim 10的仪器仪表库

(4)NI multisim 10的基本操作

(5)电路创建的基础:元器件的操作、电路图选项的设置、导线的操作、输入/输出端(6)仪器仪表的使用:仪器仪表的基本操作、数字多用表(Multimeter)、示波器(Oscilloscope)、逻辑分析仪(Logic Analyzer)、逻辑转换仪(Logic Converter)、电压表电流表;

(7)N I multisim 10的分析菜单:需用的仪器、试剂或材料等

2.逻辑代数基础仿真实验

(1)由逻辑函数表达式求真值表

(2)由逻辑函数表达式求逻辑电路图

(3)逻辑函数化简

(4)由逻辑电路图求真值表和最简表达式

五.实验报告

实验结束,要求每个学生独立完成本次实验的实验报告。实验报告要求包含有:

1.本次实验的目的和要求;

2.实践内容或原理;

3.需用的仪器或材料等;

4.实践步骤或环节;

5.实验结果分析;

6.实验过程中出现的一些问题及原因分析

第二讲组合逻辑电路的设计与测试

一.教学目标

掌握组合逻辑电路的设计与测试

二.重难点分析

重点:组合逻辑电路的设计方法

难点:分析功能;选择芯片设计电路

三.实验设备与器件

电脑

四实验内容与过程

1.实验原理

(1)根据任务要求列出真值表;

(2)通过化简得出最简逻辑函数表达式;

(3)选择标准器件实现此逻辑函数。

逻辑化简是组合逻辑设计的关键步骤之一,为了使电路结构简单和使用器件较少,往往要求逻辑表达式尽可能简化。由于实际使用时要考虑电路的工作速度和稳定可靠等因素,在较复杂的电路中,还要求逻辑清晰易懂,所以最简设计不一定是最佳的。但一般说来,在保证速度、稳定可靠与逻辑清楚的前提下,尽量使用最少的器件,以降低成本,是逻辑设计者的任务。

组合逻辑设计过程通常是在理想情况下进行的,即假定一切器件均没有延迟效应。但是实际上并非如此,信号通过任何导线或器件都需要一个响应时间。例如,一般中速TTL与非门的延迟时间为10~20ns。而且由于制造工艺上的原因,各器件的延迟时间离散性很大,往往按照理想情况设计的逻辑电路,在实际工作中有可能产生错误输出。一个组合电路,在它的输入信号变化时,输出出现瞬时错误的现象称为组合电路的冒险现象。

2.用“与非门”设计一个表决电路。

当四个输入端中有三个或四个为“1”时,输出端才为“1”。

由卡诺图得出逻辑表达式,并演化成“与非”的形式

Z=ABC+BCD+ACD+ABD

=ABC·BCD·ACD·ABC

根据逻辑表达式画出用“与非门”构成的逻辑电路如图所示。

3.设计一个保险箱的数字代码锁,该锁有规定的4位代码A1,A2,A3,A4的输入端和一个开箱钥匙孔信号E的输入端,锁的代码由实验者自编(例如1011)。当用钥匙开箱时(E=1),如果输入代码符合规定代码,保险箱被打开(Z1=1),如果不符合,电路将发出报警信号(Z2=1)。要求使用最少数量的与非门实现电路,检测并记录实验结果。

提示:实验时锁被打开或报警可以分别使用两个发光二极管指示电路显示示意。除不同代码需要使用的反相器外,最简设计仅需使用5个与非门。

Z1=A1A2A3A4 * E

Z2=A1A2A3A4 * E

五.实验报告

实验结束,要求每个学生独立完成本次实验的实验报告。实验报告要求包含有:

1.本次实验的目的和要求;

2.实践内容或原理;

3.需用的仪器或材料等;

4.实践步骤或环节;

5.实验结果分析;

6.实验过程中出现的一些问题及原因分析

第三讲MSI组合功能件的应用

一、教学目标

1.掌握中规模集成数据选择器的逻辑功能及使用方法;

2.学习用数据选择器构成组合逻辑电路

二、重难点分析

重点:中规模集成数据选择器的逻辑功能及使用方法、学习用数据选择器构成组合逻辑电路难点:学习用数据选择器构成组合逻辑电路

三、实验设备与仪器

电脑

四、实验内容和过程

⒈实验原理

(1)74LS153:一个双4选1数据选择器。其中D0、D1、D2、D3为4个数据输入端;Y 为输出端;S

是使能端。在S =0时使能,在S =1时Y=0;A1、A0是器件中两个选择器公用的地址输入端。该器件的逻辑表达式为

)(301201101001D A A D A A D A A D A A S Y +++=

表 3-1 74LS153功能表

控制输入 输出

A 1 A 0 S

Y × × 1 0 0 0 0 D 0 0 1 0 D 1 1 0 0 D 2 1

1

D 3

数据选择器是一种通用性很强的功能件,它的功能很容易得到扩展。

①4选1数据选择器经组合很容易实现8选1选择器功能。 ②使用数据选择器进行逻辑函数电路设计的。 2.74LS151:8 选1 数据选择器74LS151:

3.数据选择器的应用——实现逻辑函数

用8 选1 数据选择器74LS151 实现逻辑函数Y = ABC + ABC + AB

4.测试数据选择器74LS151的逻辑功能

按74LS151功能表逐项进行测试,记录测试结果。

图3-1 74LS153逻辑符号

5.用双4选1数据选择器74LS153实现8选1和全加器

⑴写出设计过程

⑵画出连接线图

6.利用一个4选一数据选择器和最少数量的与非门,设计一个符合输血---受血规则的4输入1输出电路。检测所设计电路的逻辑功能。

五.实验报告

实验内容进行设计、写出设计全过程,画出接线图,进行逻辑功能测试,总结实验收获、体会。

第四讲译码和显示电路

一、教学目标

1.掌握中规模集成译码器的逻辑功能和使用方法;

2.熟悉数码管的使用

二、重难点分析

重点:中规模集成译码器的逻辑功能和使用方法、数码管的使用

难点:数码管的使用

三、实验设备与仪器

电脑

四、实验内容与过程

1.基本原理

显示译码器和数码管种类繁多,这里仅对实验中使用的BCD输入的4线—七段译码器和七段发光二极管数码管的使用方法作简要介绍。并介绍3种译码显示组合器件。

(1)七段发光二极管(LED)数码管

七段LED数码管有共阴型和

共阳型两类。实验中使用共阴型数

码管,它的图形符号和内部电路图

如图所示。要求配用相应的译码/驱动器。小型数码管的每段发光二极管的正向压降,随显

示光的颜色不同略有区别,通常约为2V,电亮电流在5~10mA。

图所示为一个由3位十进制数组成的译码显示电路的连线图,由于百位的译码器

I=0,若此位读数是0时,将不显示字符,并且是Y BR输出为0,图中可见,百位的Y BR BR

端与十位的I BR端相连,因而在百位处于灭0状态时,十位也具有灭0功能。例如,电路的

读数是005,由于采取了灭0的连接,故数码管仅显示最低位一个5字。显然对个位的读数

I应置1。同样,对于小数点后的无效0也可采用灭0使用灭0功能是不妥当的,个位的BR

功能,电路的具体连接方法由读者自行设计。

图位十进制数的译码显示电路

2.实验任务

使用两块74LS190设计一个数字钟秒位六十进制计数器,画出逻辑图,检测并记录电

路功能。

五.实验报告

实验内容进行设计、写出设计全过程,画出接线图,进行逻辑功能测试,总结实验收获、体会。

第五讲触发器—RS、D、JK

一、教学目标

1、掌握基本RS、JK和D触发器的逻辑功能;

2、掌握集成触发器的逻辑功能和使用方法;

3、熟悉触发器之间相互转换的方法;

二、重难点分析

重点:基本RS、JK和D触发器的逻辑功能、集成触发器的逻辑功能和使用方法、触发器之间相互转换的方法

难点:触发器之间相互转换的方法

三、实验设备与仪器

电脑

四、实验内容和过程

1.基本原理

(1)基本RS触发器逻辑功能测试

利用数字逻辑实验箱测试由与非门组成的基本RS触发器的逻辑功能,R、S接电平开关,Q、Q接电平显示,将结果记录在下表中。

(2)集成JK触发器逻辑功能测试

直接置0和置1端的功能测试

JK逻辑功能的测试

按下表测试并记录JK触发器的逻辑功能

JK触发器计数功能测试

使触发器处于计数状态(J=K=1),CP信号由软件操作板中的连续脉冲(矩形波)发生器提供,可分别用低频(f= 1~10HZ)和高频(f=20~150KHZ)两档进行输入,分别用LED电平显示器和XJ4328双踪示波器观察工作情况,记录CP与Q的工作波形,Q状态更新发生在CP 的下降沿。Q信号的周期是CP信号周期的两倍。

(3)集成D触发器逻辑功能测试

D触发器逻辑功能的测试

按下表测试并记录D触发器的逻辑功能

D触发器计数功能测试

使触发器处于计数状态(D= ),CP端由操作板中的连续脉冲(矩形波)发生器提供,可分别用低频(f= 1-10HZ)和高频(f=20-150KHZ)两档进行输入,分别用实验箱上的LED 电平显示器和XJ4318/XJ4328双踪示波器观察工作情况,记录CP与Q的工作波形, Q状态更新发生在CP的上升沿。Q信号的周期是CP信号周期的两倍。

2.实验任务

(1)比较各种触发器的逻辑功能及触发方式

五.实验报告

实验内容进行设计、写出设计全过程,画出接线图,进行逻辑功能测试,总结实验收获、

第六讲脉冲波形产生电路

一、教学目标

1.熟悉555集成时基电路结构、工作原理及其特点;

2.掌握555时基电路的应用

二、重难点分析

重点:555集成时基电路结构、工作原理及其特点、555时基电路的应用

难点:555时基电路的应用

三、实验设备与仪器

电脑

四、实验内容和过程

1.基本原理

数字电路中,经常使用矩形脉冲作为信号进行信息传送,或者作为时钟脉冲用来控制和驱动电路,是个部分协调动作。获得矩形脉冲波的电路通常有两类:一类是自激多谐振荡器,它是不需要外加信号触发的矩形波发生器;另一类是它激多谐振荡器,在这类电路中,有的是单稳态触发器,它需要在外加触发信号作用下,输出具有一定宽度的脉冲波;有的是整形电路(施密特触发器),它对外加输入的正弦波等波形进行整形,使电路输出矩形脉冲波。

555时基电路是一种模拟集成电路,它的内部电路框图如图所示。电路主要由两个高精

度比较器C1,C2以及一个RS触发器组成。比较器的参考电压分别是2/3V cc和1/3V cc,利用触发输入端TR输入一个小于1/3V cc信号,或者阈值输入端TH输入一个大于2/3V cc的信号,可以使RS触发器状态发生变换。CT是控制输入端,可以外接输入电压,以改变比较器的参考电压值。在不接外加电压时,通常接0.01uF电容器到地。C t是放电输入端,当输出端的F=0时,C t对地短路,当F=1时,C t对地开路。R是复位输入端。当R=0时,输出端有F=0。

器件的电源电压V cc可以是-15V ~+5V,输出的最大电流可达200mA,当电源电压为+5V 时,电路输出与TTL电路兼容。555电路能够输出从微秒级到小时级时间范围很广的信号。

2.实验任务

使用555时基电路组成图6-11所示电路,取R1=R2=4.7kΩ,C=C0=0.01μF。

(1)用示波器观察并记录触发输入端TR和输出端F的工作波形,读出输出信号的周期T和正脉冲宽度t w的值;

(2)用信号源的计数功能测量与记录输出信号的T与t w的值;

(3)将上述两种测试结果与理论计算值比较,分析实验误差。

五.实验报告

实验内容进行设计、写出设计全过程,画出接线图,进行逻辑功能测试,总结实验收获、

第七讲A/D,D/A转换实验

一、教学目标

1.了解A/D,D/A转换的基本工作原理和基本结构;

2.掌握具体A/D,D/A芯片的使用

二、重难点分析

重点:A/D,D/A转换的基本工作原理和基本结构

难点:具体A/D,D/A芯片的使用

三、实验设备与仪器

电脑

四、实验内容和过程

1.基本原理

在数字电子技术很多应用场合往往需要把模拟量转换成数字量,或把数字量转成模拟量,完成这一转换功能的转换器有多种型号,使用者借助于手册提供的器件性能指标及典型应用电路,可正确使用这些器件。本实验采用大规模集成电路DAC0832实现D/A (数/模)转换,ADC0809实现A/D (模/数)转换。 (1)D/A 转换器DAC0832

DAC0832是采用CMOS 工艺制成的电流输出型8位数/模转换器,引脚排列如图4-36所示,各引脚含义为:

0D ~7D :数字信号输入端,7D —MSB ,0D —LSB 。

ILE :输入寄存器允许,高电平有效。

CS:片选信号,低电平有效,与ILE 信号合起来共同控制1WR 是否起作用。

1WR :写信号1,低电平有效,用来将数据总数的数据输入锁存于8位输入寄存器中,1WR 有效时,必须使CS 和ILE 同时有效。

XFER :传送控制信号,低电平有效,用来控制2WR 是否起作用。

2WR :

写信号2,低电平有效,用来将锁存于8位输入寄存器中的数字传送到8位D/A 寄存器锁存起来,此时WFER 应有效。

1OUT I :D/A 输出电流1,当输入数字量全为1时,电流值最大。 2OUT I :D/A 输出电流2。

fb R :反馈电阻。DAC832为电流输出型芯片,可外接运算放大器,将电流输出转换成

电压输出,电阻fb R 是集成在内的运算放大器的反馈电阻,并将其一端引出片外,为在片外连接运算放大器提供方便。当fL R 的引出端(脚9)直接与运算放大器的输出端相连接,如图4-37所示,而不另外串联电阻时,则输出电压如式(4.1.12)所示。

i i n i n REF d V V 221

0∑-=== (4.1.12)

REF V :基准电压,通过它将外加高精度的电压源接至T 型电压网络,电压范围为(-10~

+10)V ,也可以直接向其他D/A 转换器的电压输出端。

CC V :电源,电压范围(+5~+15)V 。

AGND :模拟地。 DGND :数字地。 ② A/D 转换器ADC0809

ADC0809是采用CMOS 工艺制成的8位逐次渐近型模/数转换器,引脚排列,如图4—38所示。各引脚含义为:

0IN ~7IN :8路模拟量输入端。 012A A A 、、:地址输入端。

ALE:地址锁存允许输入信号,应在此脚施加正脉冲,上升沿有效,此时锁存地址码,从而选通相应的模拟信号通道,以便进行A/D 转换。

START :启动信号输入端,应在此脚施加正脉冲,当上升沿到达时,内部逐次逼近寄存器START 复位,在下降沿到达后,开始A/D 转换过程。

EOC :转换结束输出信号(转换结束标志),高电平有效,转换在进行中EOC 为低电平,转换结束EOC 自动变为高电平,标志A/D 转换已结束。

OVTEN (OE ):输入允许信号,高电平有效,即OE=1时,将输出寄存器中数据放到数据总线上。

CP :时钟信号输入端,外接时钟脉冲,时钟频率一般为640Z KH 。REF(+)、REF(-):基准电压的正极和负极。一般REF V (+)接+5V 电源,REF V (-)接地。

7D ~0D :数字信号输出端7D —MSB 、0D —LSB

ADC0809通过引脚0IN ~7IN 输入8路单边模拟输入电压,ALE 将3位地址线

012A A A 、、、进行锁存,然后由译码电路选通8路中某一路进行A/D 转换,

地址译码与输入选通关系

ADC0809地址译码与输入选通关系

(1)用DAC0832及运算放大器A 741组成D/A 转换电路

五、实验报告

实验内容进行设计、写出设计全过程,画出接线图,进行逻辑功能测试,总结实验收获

第八讲数字钟的设计与调试

一、教学目标

1.掌握EWB 软件的初步试用

2.掌握同步十进制计数器74160的功能及使用

二、重难点分析

重点:同步十进制计数器74160的功能及使用 难点:74160使用

三、实验设备与仪器

电脑

四、实验内容和过程

1.实验任务

使用中、小规模集成电路设计与制作一台数字显示时、分、秒的闹钟。它应具有以下功能:

(1)能进行正常的时、分、秒计时功能

使用6个七段发光二极管显示时间。其中时位以12小时为计数周期,其计数序列应为1、2、…、11、12、1、…。当时钟是12时59分59秒后,再计一个秒脉冲,时钟应显示1时00分00秒。电路还应有上午和下午的指示。

设计要求时的十位数应采取灭零措施,上、下午指示应与时十位合用一个数码管。(2)能进行手动校时

利用两个单刀双掷开关分别对时位和分位进行校正。

校时位时,要求时位以每秒计1的速度循环计数。

校分位时,要求分位以每秒计1的速度循环计数。此时秒位计数应置0,并且分位向时位的进位必须断开。

(3)能进行整点报时

要求发出仿中央人民广播电台的整点报时信号,即在59分50秒起每隔2秒钟发出一次低音的“嘟”信号(信号鸣叫持续时间1s,间隙1s)。连续发5次,到达整点时(即00分00秒时)再鸣叫一次高音的“哒”信号(信号持续时间仍为1s)。因此,电路必须有两路信号输出,用来控制两种不同的音响信号输出(实验仅需输出两路控制信号,用发光二极管指示,不要求输出声响)。

五、实验报告

实验内容进行设计、写出设计全过程,画出接线图,进行逻辑功能测试,总结实验收获

数字逻辑实验指导书(multisim)(精)

实验一集成电路的逻辑功能测试 一、实验目的 1、掌握Multisim软件的使用方法。 2、掌握集成逻辑门的逻辑功能。 3、掌握集成与非门的测试方法。 二、实验原理 TTL集成电路的输入端和输出端均为三极管结构,所以称作三极管、三极管逻辑电路(Transistor -Transistor Logic 简称TTL电路。54 系列的TTL电路和74 系列的TTL电路具有完全相同的电路结构和电气性能参数。所不同的是54 系列比74 系列的工作温度范围更宽,电源允许的范围也更大。74 系列的工作环境温度规定为0—700C,电源电压工作范围为5V±5%V,而54 系列工作环境温度规定为-55— ±1250C,电源电压工作范围为5V±10%V。 54H 与74H,54S 与74S 以及54LS 与74LS 系列的区别也仅在于工作环境温度与电源电压工作范围不同,就像54 系列和74 系列的区别那样。在不同系列的TTL 器件中,只要器件型号的后几位数码一样,则它们的逻辑功能、外形尺寸、引脚排列就完全相同。 TTL 集成电路由于工作速度高、输出幅度较大、种类多、不易损坏而使用较广,特别对我们进行实验论证,选用TTL 电路比较合适。因此,本实训教材大多采用74LS(或74系列TTL 集成电路,它的电源电压工作范围为5V±5%V,逻辑高电平为“1”时≥2.4V,低电平为“0”时≤0.4V。 它们的逻辑表达式分别为:

图1.1 分别是本次实验所用基本逻辑门电路的逻辑符号图。 图1.1 TTL 基本逻辑门电路 与门的逻辑功能为“有0 则0,全1 则1”;或门的逻辑功能为“有1则1,全0 则0”;非门的逻辑功能为输出与输入相反;与非门的逻辑功能为“有0 则1,全1 则0”;或非门的逻辑功能为“有1 则0,全0 则1”;异或门的逻辑功能为“不同则1,相同则0”。 三、实验设备

数字逻辑个性课实验报告

学生学号0121410870432实验成绩 学生实验报告书 实验课程名称逻辑与计算机设计基础 开课学院计算机科学与技术学院 指导教师姓名肖敏 学生姓名付天纯 学生专业班级物联网1403 2015--2016学年第一学期

译码器的设计与实现 【实验要求】: (1)理解译码器的工作原理,设计并实现n-2n译码器,要求能够正确地根据输入信号译码成输出信号。(2)要求实现2-4译码器、3-8译码器、4-16译码器、8-28译码器、16-216译码器、32-232译码器。 【实验目的】 (1)掌握译码器的工作原理; (2)掌握n-2n译码器的实现。 【实验环境】 ◆Basys3 FPGA开发板,69套。 ◆Vivado2014 集成开发环境。 ◆Verilog编程语言。 【实验步骤】 一·功能描述 输入由五个拨码开关控制,利用led灯输出32种显示 二·真值表

三·电路图和表达式

四·源代码 module decoder_5( input [4:0] a, output [15:0] d0 ); reg [15:0] d0; reg [15:0] d1; always @(a) begin case(a) 5'b00000 :{d1,d0}=32'b1000_0000_0000_0000_0000_0000_0000_0000; 5'b00001 :{d1,d0}=32'b0100_0000_0000_0000_0000_0000_0000_0000; 5'b00010 :{d1,d0}=32'b0010_0000_0000_0000_0000_0000_0000_0000; 5'b00011 :{d1,d0}=32'b0001_0000_0000_0000_0000_0000_0000_0000; 5'b00100 :{d1,d0}=32'b0000_1000_0000_0000_0000_0000_0000_0000; 5'b00101 :{d1,d0}=32'b0000_0100_0000_0000_0000_0000_0000_0000; 5'b00110 :{d1,d0}=32'b0000_0010_0000_0000_0000_0000_0000_0000; 5'b00111 :{d1,d0}=32'b0000_0001_0000_0000_0000_0000_0000_0000; 5'b01000 :{d1,d0}=32'b0000_0000_1000_0000_0000_0000_0000_0000; 5'b01001 :{d1,d0}=32'b0000_0000_0100_0000_0000_0000_0000_0000; 5'b01010 :{d1,d0}=32'b0000_0000_0010_0000_0000_0000_0000_0000; 5'b01011 :{d1,d0}=32'b0000_0000_0001_0000_0000_0000_0000_0000; 5'b01100 :{d1,d0}=32'b0000_0000_0000_1000_0000_0000_0000_0000; 5'b01101 :{d1,d0}=32'b0000_0000_0000_0100_0000_0000_0000_0000; 5'b01110 :{d1,d0}=32'b0000_0000_0000_0010_0000_0000_0000_0000; 5'b01111 :{d1,d0}=32'b0000_0000_0000_0001_0000_0000_0000_0000; 5'b10000 :{d1,d0}=32'b0000_0000_0000_0000_1000_0000_0000_0000; 5'b10001 :{d1,d0}=32'b0000_0000_0000_0000_0100_0000_0000_0000; 5'b10010 :{d1,d0}=32'b0000_0000_0000_0000_0010_0000_0000_0000; 5'b10011 :{d1,d0}=32'b0000_0000_0000_0000_0001_0000_0000_0000; 5'b10100 :{d1,d0}=32'b0000_0000_0000_0000_0000_1000_0000_0000; 5'b10101 :{d1,d0}=32'b0000_0000_0000_0000_0000_0100_0000_0000; 5'b10110 :{d1,d0}=32'b0000_0000_0000_0000_0000_0010_0000_0000; 5'b10111 :{d1,d0}=32'b0000_0000_0000_0000_0000_0001_0000_0000; 5'b11000 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_1000_0000; 5'b11001 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0100_0000; 5'b11010 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0010_0000;

数字逻辑实验三 实验四

实验报告课程名称电子技术综合设计与实践 题目名称实验三、实验四 学生学院自动化学院 专业班级物联网工程 学号 学生姓名 指导教师 2016年 6 月 26 日 一、实验目的 1、(实验三)用两片加法器芯片74283配合适当的门电路完成两个BCD8421码的加法运算。 2、(实验四)设计一个计数器完成1→3→5→7→9→0→2→4→6→8→1→…的循环计数(设初值为1),并用一个数码管显示计数值(时钟脉冲频率为约1Hz)。 二、功能描述及分析 实验三: (1)分别用两个四位二进制数表示两个十进制数,如:用A3 A2 A1A0表示被加数,用B3B2B1B0表示加数,用S3 S2 S1 S0表示“和”,用C0表示进位。 (2)由于BCD8421码仅代表十进制的0—9,所以加法修正规则:当S>9时,修正值为D3D2D1D0=0110; 当S<9时,修正值为D3D2D1D0=0000。

(3)由真值表,我们可以得出D3=D0=0,D2=D1=FC4 + S4(S3+S2) 实验四: (1)分别用四位二进制数来表示十进制数,触发器状态用DCBA表示,10个技术状态中的初值状态为0001。 (2)列出状态表,如下 (3)得出次态方程: D n+1=BC, C n+1=B⊕C, B n+1=A D, A n+1=A⊕D (4)选用D触发器来实现,求触发器激励函数 D4=BC, D3=B⊕C, D2=A D, D1=A⊕D (5)画出逻辑电路图如下: (6)四个触发器输出端一次输入到7447数码管译码器输入端。 三、实验器材 实验三: (1)两片加法器芯片74283,两个或门,一个与门,8个按键,5个LED 显示灯。 (2)DE2开发板和QuartusⅡ7.2软件

数字逻辑电路实验报告

数字逻辑电路 实验报告 指导老师: 班级: 学号: 姓名: 时间: 第一次试验一、实验名称:组合逻辑电路设计

二、试验目的: 1、掌握组合逻辑电路的功能测试。 2、验证半加器和全加器的逻辑功能。 3、、学会二进制数的运算规律。 三、试验所用的器件和组件: 二输入四“与非”门组件3片,型号74LS00 四输入二“与非”门组件1片,型号74LS20 二输入四“异或”门组件1片,型号74LS86 四、实验设计方案及逻辑图: 1、设计一位全加/全减法器,如图所示: 电路做加法还是做减法是由M决定的,当M=0时做加法运算,当M=1时做减法运算。当作为全加法器时输入信号A、B和Cin分别为加数、被加数和低位来的进位,S 为和数,Co为向上的进位;当作为全减法时输入信号A、B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上位的借位。 (1)输入/输出观察表如下: (2)求逻辑函数的最简表达式 函数S的卡诺图如下:函数Co的卡诺如下: 化简后函数S的最简表达式为: Co的最简表达式为:

(3)逻辑电路图如下所示: 2、舍入与检测电路的设计: 用所给定的集成电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大于或等于5是,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如图所示: (1)输入/输出观察表如下: B8 B4 B2 B1 F2 F1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 1 1 0 0 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 1 1 1

华中科技大学计算机学院数字逻辑实验报告2(共四次)

数字逻辑实验报告(2) 姓名: 学号: 班级: 指导教师: 计算机科学与技术学院 20 年月日

数字逻辑实验报告(2)无符号数的乘法器设计

一、无符号数的乘法器设计 1、实验名称 无符号数的乘法器的设计。 2、实验目的 要求使用合适的逻辑电路的设计方法,通过工具软件logisim进行无符号数的乘法器的设计和验证,记录实验结果,验证设计是否达到要求。 通过无符号数的乘法器的设计、仿真、验证3个训练过程,使同学们掌握数字逻辑电路的设计、仿真、调试的方法。 3、实验所用设备 Logisim2.7.1软件一套。 4、实验内容 (1)四位乘法器设计 四位乘法器Mul4 4实现两个无符号的4位二进制数的乘法运算,其结构框图如图3-1所示。设被乘数为b(3:0),乘数为a(3:0),乘积需要8位二进制数表示,乘积为p(7:0)。 图3-1 四位乘法器结构框图 四位乘法器运算可以用4个相同的模块串接而成,其内部结构如图3-2所示。每个模块均包含一个加法器、一个2选1多路选择器和一个移位器shl。 图3-2中数据通路上的数据位宽都为8,确保两个4位二进制数的乘积不会发生溢出。shl是左移一位的操作,在这里可以不用逻辑器件来实现,而仅通过数据连线的改变(两个分线器错位相连接)就可实现。

a(0)a(1)a(2)a(3) 图3-2 四位乘法器内部结构 (2)32 4乘法器设计 32 4乘法器Mul32 4实现一个无符号的32位二进制数和一个无符号的4位二进制数的乘法运算,其结构框图如图3-3所示。设被乘数为b(31:0),乘数为a(3:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-3 32 4乘法器结构框图 在四位乘法器Mul4 4上进行改进,将数据通路上的数据位宽都改为32位,即可实现Mul32 4。 (3)32 32乘法器设计 32 32乘法器Mul32 32实现两个无符号的32位二进制数的乘法运算,其结构框图如图3-4所示。设被乘数为b(31:0),乘数为a(31:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-4 32 32乘法器结构框图 用32 4乘法器Mul32 4作为基本部件,实现32 32乘法器Mul32 32。 设被乘数为b(31:0)=(b31b30b29b28···b15b14b13b12···b4b3b2b1b0)2 乘数为a(31:0)=(a31a30a29a28···a15a14a13a12···a3a2a1a0)2 =(a31a30a29a28)2 228+···+ ( a15a14a13a12)2 212+···+ (a3a2a1a0)2 20

数字逻辑实验报告

. 武汉理工大学

2017 年月日 实验一:一位全加器 实验目的: 1. 掌握组合逻辑电路的设计方法; 2. 熟悉Vivado2014 集成开发环境和Verilog 编程语言; 3. 掌握1 位全加器电路的设计与实现。 试验工具: 1.Basys3 FPGA 开发板 2.Vivado2014 集成开发环境和Verilog 编程语言。 实验原理: Ci+A+B={Co,S} 全加器真表

全加器逻辑表达式 S=A○+B○+Ci Co=A.B+ (A○+B).Ci 全加器电路图 实验步骤: (一)新建工程: 1、打开 Vivado 2014.2 开发工具,可通过桌面快捷方式或开始菜单中 Xilinx Design Tools->Vivado 2014.2 下的 Vivado 2014.2 打开软件; 2、单击上述界面中 Create New Project 图标,弹出新建工程向导。 3、输入工程名称、选择工程存储路径,并勾选Create project subdirectory选项,为工程在指 定存储路径下建立独立的文件夹。设置完成后,点击Next。注意:工程名称和存储路径中不能出现中文和空格,建议工程名称以字母、数字、下划线来组成 4、选择RTL Project一项,并勾选Do not specify sources at this time,为了跳过在新建工 程的过程中添加设计源文件。 5、根据使用的FPGA开发平台,选择对应的FPGA目标器件。(在本手册中,以Xilinx大学计 划开发板Digilent Basys3 为例,FPGA 采用Artix-7 XC7A35T-1CPG236-C 的器件,即Family 和Subfamily 均为Artix-7,封装形式(Package)为CPG236,速度等级(Speed grade)为-1,温度等级(Temp Grade)为C)。点击Next。 6、确认相关信息与设计所用的的FPGA 器件信息是否一致,一致请点击Finish,不一致,请返 回上一步修改。 7、得到如下的空白Vivado 工程界面,完成空白工程新建。

华中科技大学数字逻辑实验报告

华中科技大学数字逻辑实验报告 姓名: 专业班级: 学号: 指导老师: 完成时间:

实验一:组合逻辑电路的设计 一、实验目的: 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能 3.学会二进制的运算规律。 二、实验所用组件: 二输入四与门74LS08,二输入四与非门74LS00,二输入四异或门74LS86,六门反向器74LS04芯片,三输入三与非门74L10,电线若干。 三、实验内容: 内容A:全加全减器。 实验要求: 一位全加/全减法器,如图所示: 电路做加法还是做减法运算是由M决定的,当M=0做加法,M=1做减法。当作为全加法起时输入A.B和Cin分别为加数,被加数和低位来的进位,S和数,Co位向上位的进位。当作为全减法器时输入信号A,B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上的借位。 实验步骤: 1.根据功能写出输入/输出观察表:

2. 3.做出卡诺图,确定输出和激励的函数表达式:

4.根据逻辑表达式作出电路的平面图: 5.检查导线以及芯片是否完好无损坏,根据平面图和逻辑表达式连接电路。 实验结果: 电路连接好后,经检测成功实现了一位全加/全减法器的功能。 内容B:舍入与检测电路的设计: 试验要求: 用所给定的集合电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四

舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大宇或等于(5)10时,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如下所示: (1)按照所设计的电路图接线,注意将电路的输入端接试验台的开关,通过拨动开关输入8421代码,电路输入按至试验台显示灯。 (2)每输入一个代码后观察显示灯,并将结果记录在输入/输出观察表中。 实验步骤 1.按照所给定的实验要求填写出F1,F2理论上的真值表。 2.根据真值表给出F1和F2的卡诺图。

数字逻辑电路实验

1.1 数电实验仪器的使用及门电路逻辑功能的测试 1.1.1 实验目的 (1)掌握数字电路实验仪器的使用方法。 (2)掌握门电路逻辑功能的测试方法。 1.1.2 实验设备 双踪示波器一台 数字电路实验箱一台 万用表一块 集成芯片:74LS00、74LS20 1.1.3 实验原理 图1.1是TTL系列74LS00(四2输入端与非门)的引脚排列图。 Y A B 其逻辑表达式为:=? 图1.2是TTL系列74LS20(双4输入端与非门)的引脚排列图。 Y A B C D 其逻辑表达式为:=??? 与非门的输入中任一个为低电平“0”时,输出便为高电平“1”。只有当所有输入都为高电平“1”时,输出才为低电平“0”。对于TTL逻辑电路,输入端如果悬空可看作逻辑“1”,但为防止干扰信号引入,一般不悬空。对于MOS逻辑电路,输入端绝对不允许悬空,因为MOS电路输入阻抗很高,受外界电磁场干扰的影响大,悬空会破坏正常的逻辑功能,因此使用时一定要注意。一般把多余的输入端接高电平或者和一个有用输入端连在一起。 1.1.4 实验内容及步骤 (1)测量逻辑开关及电平指示功能 用导线把一个数据开关的输出端与一个电平指示的输入端相连接,将数据开关置“0”位,电平指示灯应该不亮。将数据开关置“1”位,电平指示灯应该亮。以此类推,检测所有的数据开关及电平指示功能是否正常。

(2)检测脉冲信号源 给示波器输入脉冲信号,调节频率旋钮,可观察到脉冲信号的波形。改变脉冲信号的频率,示波器上的波形也应随之发生变化。 (3)检测译码显示器 用导线将四个数据开关分别与一位译码显示器的四个输入端相连接,按8421码进位规律拨动数据开关,可观察到译码显示器上显示0~9十个数字。 (4)与非门逻辑功能测试 ①逻辑功能测试 将芯片74LS20中一个4输入与非门的四个输入端A、B、C、D分别与四个数据开关相连接,输出端Y与一个电平指示相连接。电平指示的灯亮为1,灯不亮为0。根据表1.1中输入的不同状态组合,分别测出输出端的相应状态,并将结果填入表中。 表1.2 ②与非门对脉冲信号的反相传输及控制功能的测试 将芯片74LS00中一个2输入与非门的A输入端接频率为1kHz脉冲信号,B输入端接数据开关,输出端Y接示波器。用双踪示波器同时观察A输入端的脉冲波形和输出端Y的波形,并注意两者之间的关系。按表1.2中的不同输入方式测试,将结果填入表中。 1.1.5 预习要求与思考题 (1)阅读实验原理、内容及步骤。 (2)了解集成芯片引脚的排列规律。 (3)TTL集成电路使用的电源电压是多少? (4)TTL与非门输入端悬空相当于输入什么电平?为什么? (5)如何处理各种门电路的多余输入端。 1.1.6 实验报告及要求 (1)画出规范的测试电路图及各个表格。

数字逻辑实验指导书(1)

实验一 实验箱及小规模集成电路的使用 一 实验目的 1 掌握实验箱的功能及使用方法 2 学会测试芯片的逻辑功能 二 实验仪器及芯片 1 实验箱 2 芯片:74LS00 二输入端四与非门 1片 74LS86 二输入端四异或门 1片 74LS04 六非门 1片 三 实验内容 1 测试芯片74LS00和74LS86的逻辑功能并完成下列表格。 (1) 74LS00的14脚接+5V 电源,7脚接地;1、2、4、5、9、10、12、13脚接逻辑开关,3、6、8、11接发光二极管。(可以将1、4、9、12接到一个逻辑开关上,2、5、10、13接到一个逻辑开关上。)改变输入的状态,观察发光二极管。74LS86的接法74LS00基本一样。 表 74LS00的功能测试 表 74LS86的功能测试 (2)分析74LS00和74LS86的四个门是否都是完好的。 2 用74LS00和74LS04组成异或门,要求画出逻辑图,列出异或关系的真值表。 (3)利用74LS00和74LS04设计一个异或门。画出设计电路图。

实验二译码器和数据选择器 一实验目的 1继续熟悉实验箱的功能及使用方法 2掌握译码器和数据选择器的逻辑功能 二实验仪器及芯片 1 实验箱 2 芯片:74LS138 3线-8线译码器 1片 74LS151 八选一数据选择器 1片 74LS20 四输入与非门 1片 三实验内容 1 译码器功能测试(74LS138) 芯片管脚图如图所示,按照表连接电路,并完成表格。其中16脚接+5V,8脚接地,1~6脚都接逻辑开关,7、9、10、11、12、13、14、15接发光二极管。 表 2 数据选择器的测试(74LS151) 按照表连接电路,并完成表格。其中16脚接+5V,8脚接地;9、10、11,为地址输入端,接逻辑开关;4、3、2、1、12、13、14、15为8个数据输入端,接逻辑开关;G为选通输入端,Y为输出端,接发光二极管。

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

数字逻辑实验、知识点总结

数字逻辑实验报告、总结 专业班级:计算机科学与技术3班学号:41112115 姓名:华葱一、实验目的 1.熟悉电子集成实验箱的基本结构和基本操作 2.通过实验进一步熟悉各种常用SSI块和MSI块的结构、各管脚功能、工作原理连接方法 3.通过实验进一步理解MSI块的各输入使能、输出使能的作用(存在的必要性) 4.通过实验明确数字逻辑这门课程在计算机专业众多课程中所处的位置,进一步明确学习计算机软硬件学习的主线思路以 及它们之间的关系学会正确学习硬件知识的方法。 二、实验器材 1.集成电路实验箱 2.导线若干 3.14插脚、16插脚拓展板 4.各种必要的SSI块和MSI块 三、各次实验过程、内容简述 (一)第一次实验:利用SSI块中的门电路设计一个二进制一位半加器 1.实验原理:根据两个一位二进制数x、y相加的和与进 位的真值表,可得:和sum=x异或y,进位C out=x×y。 相应电路:

2. 实验内容: a) 按电路图连接事物,检查连接无误后开启电源 b) 进行测试,令={<0,0>,<0,1>,<1,0>,<1,1>}, 看输出位sum 和C out 的变化情况。 c) 如果输出位的变化情况与真值表所述的真值相应, 则达到实验目的。 (二) 第二次实验:全加器、74LS138译码器、74LS148编码器、 74LS85比较器的测试、使用,思考各个输入、输出使能端 的作用 1. 实验原理: a) 全加器 i. 实验原理: 在半加器的基础上除了要考虑当前两个二进制为相加 结果,还要考虑低位(前一位)对这一位的进位问题。 由于进位与当前位的运算关系仍然是和的关系,所以新 引入的低位进位端C in 应当与当前和sum 再取异或,而 得到真正的和Sum ;而进位位C out 的产生有三种情况: ={<1,1,0>,<1,0,1>,<0,1,1>},也就是说当x 、 y 、C in 中当且仅当其中的两个数为1,另一个数为0的 Sum Cout

数字逻辑实验教案

滁州学院计算机与信息工程学院 实验课程教案 课程名称:数字电路分析与设计 授课教师:姚光顺 授课对象:11网工、计科 授课时间:20XX年2月-2012月7月 滁州学院计算机与信息工程系 20XX年2月 《数字逻辑》实验教学大纲

课程编号: 课程名称:数字逻辑 英文名称:Digital Logic 课程类型:专业基础课 课程属性:独立设课 总学时:16总学分:0.5 开设学期:2 适用专业:计算机科学与技术网络工程 先修课程:大学物理电路原理 一、实验课程简介 数字逻辑实验,是数字逻辑课程教学内容的延伸和加强。在电子产品广泛应用的前提下,对于每一个大学生,具备一定电工电子基本知识和应用能力是必不可少的。因此,数字逻辑实验教学是按在相关理论教学的基础上,根据教学实际情况所开设的重点技术基础实验课程。通过实验,可以加深学生对课程内容中重点、难点的理解,培养其动手能力。 二、实验教学目标与基本要求 本课程的作用与任务是:使学生进一步掌握数字逻辑电路的分析与设计的基本方法,了解数字逻辑物理器件的主要技术参数,以及物理设计中的制作、调试、故障诊断的基本技能。要求学生在实验原理指导下,熟悉和掌握常用中、大规模集成电路的功能和在实际中应用的方法,具备基本电路的设计能力。培养学生检查与排除电路故障、分析和处理实验结果、分析误差和撰写实验报告的能力,旨在培养学生综合运用知识能力、严谨细致的工作作风和一丝不苟的科学态度。 三、本实验课程的基本理论与实验技术知识 本实验课基于门电路、组合逻辑电路、触发器、时序逻辑电路、A/D和D/A转换的基本理论而设定。 四、实验方法、特点与基本要求 实验分为实验预习、实验操作、实验总结三个步骤: 1、实验预习 1) 明确实验目的,理解实验原理; 2) 了解实验环境; 3) 了解实验方法,拟定实验的操作步骤; 2、实验操作 1) 建立实验环境,进行实验操作,培养实践动手能力 2) 实验过程中认真观察实验现象,详细记录实验结果 3) 实验结束前,整理好实验设备,经指导教师验收方可退出实验室 3、实验总结 通过对实验记录的整理,以加深对所学理论知识的理解,不断总结、积累经验,从而提高动手能力。

数字逻辑实验报告

计算机专业类课程 实 验 报 告 课程名称:数字逻辑 学院:计算机科学与工程学院专业:计算机科学与技术 学生姓名: 学号: 指导教师: 评分: 日期:2014年6月2日 电子科技大学计算机学院实验中心

电 子 科 技 大 学 实 验 报 告 实验一:基本门电路的功能和特性实验 实验时间: 2014.4.20 一、实验目的 I.了解集成电路的外引线排列及其使用方法 II. 掌握常用集成门电路的逻辑功能与特性 III.学习组合逻辑电路的设计及测试方法 IV.了解集成电路外引线的方式方法。 V.了解测试电路的基本方法 VI. 掌握常用集成门电路的逻辑功能与特性 实验内容 部分TTL 门电路逻辑功能验证包括: ● 二输入四与非门7400 ● 二输入四或门7432 ● 二输入四异或门7486 ● 6反相器7404 二、实验原理 1)逻辑代数系统满足的5条公理 ● 交换律:A B B A +=+ A B B A ?=? ● 结合律:)()(C B A C B A ++=++ )()(C B A C B A ??=?? ● 分配律:C A B A C B A ?+?=+?)( )()(C A B A C B A +?+=?+ ● 0-1律:A A =+0 11=+A A A =?1 00=?A ● 互补律:1=+A A 0=?A A 此外,还满足摩根定律:B A B A +=? B A B A ?=+ 2)实验涉及门电路的引脚图如图1.1所示

电子科技大学计算机学院实验中心 图1.1 3) 组合逻辑电路的分析思路: 4) 组合逻辑电路设计思路: 如果掌握了以上两种分析方法后,再对我们的需求进行分析,即可对一般电路进行分析、设计,从而可以正确的使用被分析的电路一级设计出能满足逻辑功能和技术指标要求的电路了! 写函数关系式 对函数式进行化简或变换; 根据最简式列真值表 判断逻辑功能 根据给定事件的因果关系列出真值表 由真值表写函数式 对函数式进行化简或变换 画出逻辑图,并测试逻辑功能

数字逻辑实验指导书

《数字逻辑实验指导书》 实验一组合逻辑电路分析与设计 一、实验目的: 1、掌握PLD实验箱的结构和使用; 2、学习QuartusⅡ软件的基本操作; 3、掌握数字电路逻辑功能测试方法; 4、掌握实验的基本过程和实验报告的编写。 二、原理说明: 组合电路的特点是任何时刻的输出信号仅取决于该时刻的输入信号,而与信号作用前电路的状态无关。 (一)组合电路的分析步骤: (二)组合逻辑电路的设计步骤 首先根据给定的实际问题进行逻辑抽象,确定输入、输出变量,并进行状态赋值,再根据给定的因果关系,列出逻辑真值表。然后用公式法或卡诺图法化简逻辑函数式,以得到最简表达式。最后根据给定的器件画出逻辑图。 三、实验内容 (一)组合逻辑电路分析: 1.写出函数式,画出真值表; 2.在QuartusⅡ环境下用原理图输入方式画出原理图,并完成波形仿真; 3.将电路设计下载到实验箱并进行功能验证,说明其逻辑功能。(必做)

(二)组合逻辑电路设计 1.设计一个路灯的控制电路,要求在四个不同的路口都能独立地控制路灯的亮灭。(用异或门实现) 画出真值表,写出函数式,画出实验逻辑电路图。在QuartusⅡ环境下实现设计,完成对波形的仿真,并将设计下载到实验箱并进行功能验证。(必做) 要求:用四个按键开关作为四个输入变量;用一个LED彩灯(发光二极管)来显示输出的状态,“灯亮”表示输出为“高电平”,“灯灭”表示输出为“低电平”。 2.设计一个保密锁电路,保密锁上有三个键钮A、B、C。要求当三个键钮同时按下时,或A、B两 个同时按下时,或按下A、B中的任一键钮时,锁就能被打开;而当不符合上列组合状态时,将使电铃发出报警响声。试设计此电路,列出真值表,写出函数式,画出最简的实验电路。(用最少的与非门实现)。在QuartusⅡ环境下实现设计,完成对波形的仿真,并将设计下载到实验箱并进行功能验证。(选做) (注:取A、B、C三个键钮状态为输入变量,开锁信号和报警信号为输出变量,分别用F1用F2表示。设键钮按下时为“1”,不按时为“0”;报警时为“1”,不报警时为“0”,A、B、C都不按时,应不开锁也不报警。) 三、予习要求: 1.复习组合电路的分析方法和设计方法。 2.预习利用QuartusⅡ和可编程器件(PLD)进行数字电路设计的基本设计方法。 3.画出实验用电路图和记录表格,填好理论值,注明管脚号码。 四、报告要求: 1.实验目的和要求 2.实验主要仪器和设备 3.实验原理 4.实验方案设计、实验方法 5.实验步骤

数字逻辑实验报告

数字逻辑实验报告:加法器

安徽师范大学 学院实验报告 专业名称软件工程 实验室 实验课程数字逻辑 实验名称加法器实验姓名 学号 同组人员 实验日期 2013.3.26

注:实验报告应包含(实验目的,实验原理,主要仪器设备和材料,实验过程 和步骤,实验原始数据记录和处理,实验结果和分析,成绩评定)等七项内容。具体内容可根据专业特点和实验性质略作调整,页面不够可附页。 实验目的:学会使用实验箱搭建基本组合逻辑电路。 实验原理:全加器是中规模组合逻辑器件,它实现二进制数码的加法运算,是计算机中最基本的运算单元电路。一位加法器有三个输入端Ai 、B i 、C i -1,即被加数,有两个输出端S i 和B i 即相加及向高一位的进位输出。 (全加真值表) Si=A i B i C i -1+A i B i C i -1+A i B i C i -1+A i B i C i -1 C i =A i B i +A i C i -1+B i C i -1 全加器主要用于数值运算;另外,全加器还可以实现组合逻辑函数。 主要仪器设备和材料:数字逻辑电路实验装置、芯片 74LS32、芯片 74LS08、 芯片74LS86,导线 实验过程和步骤: ①关闭实验箱的电源开关,将三个芯片正确地安装在实验箱装置上; ②分别用三根导线将三个芯片的第14号引脚与实验箱左下角的+5V 连接起来,,再分别用三根导线将三个芯片的第7号引脚与实验箱左下角的GND 连接 Ai B i C i -1 S i B i 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

华中科技大学数字逻辑实验

数字逻辑实验报告(1)数字逻辑实验1 一、系列二进制加法器 设计50% 二、小型实验室门禁系 统设计50% 总成绩 姓名: 学号: 班级: 评语:(包含:预习报告内容、实验过程、实验结果及分析)

指导教师: 计算机科学与技术学院 20 年月日 数字逻辑实验报告系列二进制加法器设计预习报告

一、系列二进制加法器设计 1、实验名称 系列二进制加法器设计。 2、实验目的 要求同学采用传统电路的设计方法,对5种二进制加法器进行设计,并利用工具软件,例如,“logisim”软件的虚拟仿真功能来检查电路设计是否达到要求。 通过以上实验的设计、仿真、验证3个训练过程使同学们掌握传统逻辑电路的设计、仿真、调试的方法。 3、实验所用设备 Logisim2.7.1软件一套。 4、实验容 对已设计的5种二进制加法器,使用logisim软件对它们进行虚拟实验仿真,除逻辑门、触发器外,不能直接使用logisim软件提供的逻辑库元件,具体容如下。 (1)一位二进制半加器 设计一个一位二进制半加器,电路有两个输入A、B,两个输出S和C。输入A、B分别为被加数、加数,输出S、C为本位和、向高位进位。 (2)一位二进制全加器 设计一个一位二进制全加器,电路有三个输入A、B和Ci,两个输出S和Co。输入A、B和Ci分别为被加数、加数和来自低位的进位,输出S和Co为本位和和向高位的进位。 (3)串行进位的四位二进制并行加法器 用四个一位二进制全加器串联设计一个串行进位的四位二进制并行加法器,

电路有九个输入A3、A2、A1、A0、B3、B2、B1、B0和C0,五个输出S3、S2、S1、S0和C4。输入A= A3A2A1A0、B= B3B2B1B0和C0分别为被加数、加数和来自低位的进位,输出S= S3S2S1S0和Co为本位和和向高位的进位。 (4)先行进位的四位二进制并行加法器 利用超前进位的思想设计一个先行进位的四位二进制并行加法器,电路有九 个输入A 3、A 2 、A 1 、A 、B 3 、B 2 、B 1 、B 和C ,五个输出S 3 、S 2 、S 1 、S 和C 4 。输入 A= A 3A 2 A 1 A 、B= B 3 B 2 B 1 B 和C 分别为被加数、加数和来自低位的进位,输出S= S 3 S 2 S 1 S 和C o 为本位和和向高位的进位。 (5)将先行进位的四位二进制并行加法器封装成一个组件并验证它的正确性 将设计好的先行进位的四位二进制并行加法器进行封装,生成一个“私有”库元件并验证它的正确性,以便后续实验使用,封装后的逻辑符号参见图1-1所示。 图1-1“私有”的先行进位的四位二进制并行加法器 5、实验方案设计 (1)一位二进制半加器的设计方案

数字逻辑实验

___计算机__学院___专业__1_班________组、学号姓名_______协作者______________ 教师评定_________________ 实验题目____________基于实验箱的数字逻辑实验_____________ 1.基本门电路 2.组合逻辑电路 3.时序逻辑电路

实验报告 基本门电路 一、实验目的 1、了解基本门电路的主要用途以及验证它们的逻辑功能。 2、学习“与或非门”有关参数的测试。 3、熟悉数字电路实验箱的使用方法。 二、实验仪器及器件 1、GDUT-J-1数字电路实验箱。 2、拨码开关SI1~SI8,LED灯LD_I1~LD_I16、LD_O1~LD_O16。 3、逻辑笔,示波器,数字万用表。 4、器件:74HC00、74HC02、74HC04、74HC08、74HC32、74HC86。 三、实验原理 数字电路研究的对象是电路的输入与输出之间的逻辑关系,这些逻辑关系是由逻辑门电路的组合来实现的。门电路是数字电路的基本逻辑单元。要实现基本逻辑运算和复合逻辑运算可用这些单元电路(门电路)进行搭建。门电路以输入量作为条件,输出量作为结果,输入与输出量之间满足某种逻辑关系(即“与、或、非、异或”等关系)。 电路输入与输出量均为二值逻辑的1和0两种逻辑状态。实验中用高低电平分别表示为正逻辑的1和0两种状态。 输出端的1和0两种逻辑状态可用两种方法判定:①将电路的输出端接实验仪的某一位LED,当某一位的LED灯亮时,该位输出高电平,表示逻辑“1”;LED灯不亮时,输出低电平,表示逻辑“0”。②用逻辑笔可以测量输出端的逻辑值。 四、实验结果和数据处理(见附表) 表2-1 74HC00(四2输入与非门)输入输出状态 输入端输出端Y A B LED(亮/灭)逻辑状态 0 0 亮 1 0 1 亮 1 1 0 亮 1 1

数字逻辑实验指导

《数字逻辑》实验指导 福建工程学院

电子技术实验室实验守则 一、实验课前:每个学生必须认真预习实验指导书和与本实验有关的教材内容,写出实验预习报告。明确实验目的和实验原理,了解实验内容与步骤,掌握仪器、仪表的使用方法,作好实验准备工作。 二、上实验课:学生必须认真听讲,接好线路后,需经指导教师复查批准,才准接通电源。 三、实验时,每个学生都应严肃认真,勤于动手、独立思考、细心操作,注意观察、如实作好记录。教师根据每个学生的实验技能,动手能力评定平时成绩。 四、实验过程中,如发现仪器设备有冒烟、焦味、异响、漏电等异常现象,应立即切断电源,保持现场,请指导教师检查处理。 五、实验完成后,需请指导教师检查预习报告和实验数据以及所使用的仪器设备,经教师检查签字后方可离开实验室。 六、学生因请假而需要补做实验者,应本人申请,经指导教师同意,并安排好时间补做。 七、每个学生必须爱护实验室的仪器设备,使用前,若发现故障及时请指导教师检查。与本实验无关的仪器设备不准动用,凡不听教师讲解,进行错误操作以致损坏设备者,按赔偿条例酌情处理。 八、实验室是教学场所,应保持整洁,安静,不得喧哗打闹,不准吸烟,不准随地吐痰,不准乱抛纸屑,不准在实验室内吃东西,不准在仪器设备上或桌面上涂写,穿拖鞋者一律不准进入实验室。 九、对违反上述规则又不听劝阻者,教师有权令其退出实验室

实验一门电路参数测试 一、实验目的 1. 了解 TTL 与非门电路的主要参数。 2. 掌握 TTL 与非门电路的主要参数和传输特性的测试方。 3. 熟悉 TTL 门电路的逻辑功能的测试方法。 二、实验器材 1、数字逻辑实验箱 2、万用表 3、74LS00芯片 三、实验原理 本实验采用四二输入“与 非门”74LS00,其引脚排列如 右图所示,它共有四组独立的 “与非”门,每组有两个输入 端,一个输出端。四与非门 74LS00 的主要参数有: 1.扇出系数NO:电路正常工作时能带动的同类门的数目称为扇出系数NO 。 2.输出高电平VOH:一般VOH≥2.4V. 3.输出低电平VOL:一般VOL≤0.4V. 4.高电平输入电流IIH:指当一个输入端接高电平,而其它输入端接地时从电源流过高电平输入端的电流。 5.低电平输入电流IIL(或输入短路电流IRD):指当一个输入端接地,而其它输入端悬空时低电平输入端流向地的电流。 6.电压传输特性曲线和关门电平VOFF: 下图所表示的V ~VO关系 曲线称为电压传输特性曲线。使 输出电压刚刚达到低电平时的最 低输入电压称为开门电平VON 。 使输出电压刚刚达到规定高电平 时的最高输入电压称为关门电平 VOFF。 7.噪声容限:电路能够保持 正确的逻辑关系所允许的最大抗 干扰电压值,称为噪声电压容限。 输入低电平时的噪声容限为VOFF- VIL ,输入高电平时的噪声容

数字逻辑设计实验报告-实验13教材

浙江大学城市学院实验报告 课程名称 数字逻辑设计实验 实验项目名称 实验十二 数据选择器应用 学生姓名 专业班级 学号 实验成绩 指导老师(签名 ) 日期 注意: ● 务请保存好各自的源代码,已备后用。 ● 完成本实验后,将实验项目文件和实验报告,压缩为rar 文件,上传ftp 。如没有个人 文件夹,请按学号_姓名格式建立。 ftp://wujzupload:123456@10.66.28.222:2007/upload ● 文件名为:学号_日期_实验XX ,如30801001_20100305_实验0 1 一. 实验目的和要求 1. 掌握数据选择器的逻辑功能和使用方法。 2. 学习用数据选择器构成组合逻辑电路的方法。 二. 实验内容、原理及实验结果与分析 1、用74LS151实现逻辑函数 要求实现BC A AC C B A Y ++=,自己写出设计过程,画出接线图,并验证其逻辑功能。 计算得到m0=m7=0,m2=m4=m5=m6=1,m1=m3=D (1) 设计原理图 (2) 仿真,模拟验证,若组合成总线显示时,需要注意高低位

(3)组合输出信号 (4)配置管脚 (5)下载到FPGA

(6) 74LS151的输入端接逻辑电平输出(拨位开关),输出端Z 接逻辑电 平显示(发光二极管)。逐项测试电路的逻辑功能,记录测试结果。 2、用74LS151实现逻辑函数 要求实现逻辑函数C B CD A B A F ++=,自己写出设计过程,画出接线图,并验证其逻辑功能。芯片插法,电源、地线接法与实验内容1相同,这里只需要自己实现逻辑函数,然后连线实现其功能。 (1) 设计原理图 (2) 仿真,模拟验证,若组合成总线显示时,需要注意高低位

相关文档