文档库 最新最全的文档下载
当前位置:文档库 › 数字逻辑实验三实验四

数字逻辑实验三实验四

数字逻辑实验三实验四
数字逻辑实验三实验四

数字逻辑实验三实验四 This model paper was revised by the Standardization Office on December 10, 2020

实验报告

课程名称电子技术综合设计与实践

题目名称实验三、实验四

学生学院自动化学院

专业班级物联网工程

学号

学生姓名

指导教师

2016 年 6 月 26 日

一、实验目的

1、(实验三)用两片加法器芯片74283配合适当的门电路完成两个BCD8421码的加法运算。

2、(实验四)设计一个计数器完成1→3→5→7→9→0→2→4→6→8→1→…的循环计数(设初值为1),并用一个数码管显示计数值(时钟脉冲频率为约1Hz)。

二、功能描述及分析

实验三:

(1)分别用两个四位二进制数表示两个十进制数,如:用A 3 A 2 A 1A 0表示被加数,用B 3B 2B 1B 0表示加数,用S 3 S 2 S 1 S 0表示“和”,用C 0表示进位。

(2)由于BCD8421码仅代表十进制的0—9,所以加法修正规则:当S>9时,修正值为D 3D 2D 1D 0=0110;

当S<9时,修正值为D 3D 2D 1D 0=0000。

(3)由真值表,我们可以得出D 3=D 0=0,D 2=D 1=FC 4 + S 4(S 3+S 2) 实验四:

(1)分别用四位二进制数来表示十进制数,触发器状态用DCBA 表示,10个技术状态中的初值状态为0001。 (2)列出状态表,如下

(3)得出次态方程:

D n+1=BC, C n+1=B⊕C, B n+1=A D, A n+1=A⊕D (4)选用D触发器来实现,求触发器激励函数

D

4=BC, D

3

=B⊕C, D

2

=A D, D

1

=A⊕D

(5)画出逻辑电路图如下:

(6)四个触发器输出端一次输入到7447数码管译码器输入端。

三、实验器材

实验三:

(1)两片加法器芯片74283,两个或门,一个与门,8个按键,5个LED显示灯。

(2)DE2开发板和QuartusⅡ软件

实验四:

一个74292分频器、一个7447数码管译码器、四个D触发器、二个与门、二个非门、二个异或门

四、实验结果(电路图)

实验三:

举例:

1、当输入0001+0010时,输出是0011,,则对应的是指示灯是0与1号绿灯亮,代表十

不亮。

进制数里的十位数的进位指示灯C

进位灯亮,2、当输入1000+1000时,输出是代表十进制数的16,则对应的指示灯则是C

以及对应0110的1、2号绿灯亮。

实验四:

五、实验感想

实验三:

通过本次实验,我深刻明白了设计电路前要懂得充分运用真值表以及卡诺图化简,这样才能更快速更准确地列出相应的逻辑函数表达式;此外,还要熟悉本次实验要用到的74283芯片的功能,这样才能更快捷有效地设计出电路图。通过本次实验,我的逻辑思考能力以及动手设计能力得到了极大地提高,对DE2板的使用也更加熟练了。

实验四:

通过本次实验,我灵活地运用了次态真值表进行电路状态化简,更快速更准确地列出相应的逻辑函数表达式。然而本次实验也让我发现了自己在学习这门课时的一个很大的

漏洞,就是没有真正弄懂怎样设置初态。一开始我以为需要通过连接CLRN或者PRN来使得电路的初态为1,然而反而发现这样的设置会导致电路无法正常循环显示1、3、5、7、9、0、2、4、6、8、1……当我将这个“初态设置”去除后,电路却能正常循环显示了,并且已经自然从1开始显示。通过咨询老师后,发现当我们在列次态真值表时就已经把1,也就是0001放在了初始位置,这样也就默认1成初态了。

通过本次实验,我更全面地了解各触发器的功能,也更明白如何在设计电路时设置初态。此外,我还找到了自己的学习漏洞和学习盲点,这使得我在本次实验里收获匪浅。

数字逻辑个性课实验报告

学生学号0121410870432实验成绩 学生实验报告书 实验课程名称逻辑与计算机设计基础 开课学院计算机科学与技术学院 指导教师姓名肖敏 学生姓名付天纯 学生专业班级物联网1403 2015--2016学年第一学期

译码器的设计与实现 【实验要求】: (1)理解译码器的工作原理,设计并实现n-2n译码器,要求能够正确地根据输入信号译码成输出信号。(2)要求实现2-4译码器、3-8译码器、4-16译码器、8-28译码器、16-216译码器、32-232译码器。 【实验目的】 (1)掌握译码器的工作原理; (2)掌握n-2n译码器的实现。 【实验环境】 ◆Basys3 FPGA开发板,69套。 ◆Vivado2014 集成开发环境。 ◆Verilog编程语言。 【实验步骤】 一·功能描述 输入由五个拨码开关控制,利用led灯输出32种显示 二·真值表

三·电路图和表达式

四·源代码 module decoder_5( input [4:0] a, output [15:0] d0 ); reg [15:0] d0; reg [15:0] d1; always @(a) begin case(a) 5'b00000 :{d1,d0}=32'b1000_0000_0000_0000_0000_0000_0000_0000; 5'b00001 :{d1,d0}=32'b0100_0000_0000_0000_0000_0000_0000_0000; 5'b00010 :{d1,d0}=32'b0010_0000_0000_0000_0000_0000_0000_0000; 5'b00011 :{d1,d0}=32'b0001_0000_0000_0000_0000_0000_0000_0000; 5'b00100 :{d1,d0}=32'b0000_1000_0000_0000_0000_0000_0000_0000; 5'b00101 :{d1,d0}=32'b0000_0100_0000_0000_0000_0000_0000_0000; 5'b00110 :{d1,d0}=32'b0000_0010_0000_0000_0000_0000_0000_0000; 5'b00111 :{d1,d0}=32'b0000_0001_0000_0000_0000_0000_0000_0000; 5'b01000 :{d1,d0}=32'b0000_0000_1000_0000_0000_0000_0000_0000; 5'b01001 :{d1,d0}=32'b0000_0000_0100_0000_0000_0000_0000_0000; 5'b01010 :{d1,d0}=32'b0000_0000_0010_0000_0000_0000_0000_0000; 5'b01011 :{d1,d0}=32'b0000_0000_0001_0000_0000_0000_0000_0000; 5'b01100 :{d1,d0}=32'b0000_0000_0000_1000_0000_0000_0000_0000; 5'b01101 :{d1,d0}=32'b0000_0000_0000_0100_0000_0000_0000_0000; 5'b01110 :{d1,d0}=32'b0000_0000_0000_0010_0000_0000_0000_0000; 5'b01111 :{d1,d0}=32'b0000_0000_0000_0001_0000_0000_0000_0000; 5'b10000 :{d1,d0}=32'b0000_0000_0000_0000_1000_0000_0000_0000; 5'b10001 :{d1,d0}=32'b0000_0000_0000_0000_0100_0000_0000_0000; 5'b10010 :{d1,d0}=32'b0000_0000_0000_0000_0010_0000_0000_0000; 5'b10011 :{d1,d0}=32'b0000_0000_0000_0000_0001_0000_0000_0000; 5'b10100 :{d1,d0}=32'b0000_0000_0000_0000_0000_1000_0000_0000; 5'b10101 :{d1,d0}=32'b0000_0000_0000_0000_0000_0100_0000_0000; 5'b10110 :{d1,d0}=32'b0000_0000_0000_0000_0000_0010_0000_0000; 5'b10111 :{d1,d0}=32'b0000_0000_0000_0000_0000_0001_0000_0000; 5'b11000 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_1000_0000; 5'b11001 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0100_0000; 5'b11010 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0010_0000;

数字逻辑实验三 实验四

实验报告课程名称电子技术综合设计与实践 题目名称实验三、实验四 学生学院自动化学院 专业班级物联网工程 学号 学生姓名 指导教师 2016年 6 月 26 日 一、实验目的 1、(实验三)用两片加法器芯片74283配合适当的门电路完成两个BCD8421码的加法运算。 2、(实验四)设计一个计数器完成1→3→5→7→9→0→2→4→6→8→1→…的循环计数(设初值为1),并用一个数码管显示计数值(时钟脉冲频率为约1Hz)。 二、功能描述及分析 实验三: (1)分别用两个四位二进制数表示两个十进制数,如:用A3 A2 A1A0表示被加数,用B3B2B1B0表示加数,用S3 S2 S1 S0表示“和”,用C0表示进位。 (2)由于BCD8421码仅代表十进制的0—9,所以加法修正规则:当S>9时,修正值为D3D2D1D0=0110; 当S<9时,修正值为D3D2D1D0=0000。

(3)由真值表,我们可以得出D3=D0=0,D2=D1=FC4 + S4(S3+S2) 实验四: (1)分别用四位二进制数来表示十进制数,触发器状态用DCBA表示,10个技术状态中的初值状态为0001。 (2)列出状态表,如下 (3)得出次态方程: D n+1=BC, C n+1=B⊕C, B n+1=A D, A n+1=A⊕D (4)选用D触发器来实现,求触发器激励函数 D4=BC, D3=B⊕C, D2=A D, D1=A⊕D (5)画出逻辑电路图如下: (6)四个触发器输出端一次输入到7447数码管译码器输入端。 三、实验器材 实验三: (1)两片加法器芯片74283,两个或门,一个与门,8个按键,5个LED 显示灯。 (2)DE2开发板和QuartusⅡ7.2软件

华中科技大学计算机学院数字逻辑实验报告2(共四次)

数字逻辑实验报告(2) 姓名: 学号: 班级: 指导教师: 计算机科学与技术学院 20 年月日

数字逻辑实验报告(2)无符号数的乘法器设计

一、无符号数的乘法器设计 1、实验名称 无符号数的乘法器的设计。 2、实验目的 要求使用合适的逻辑电路的设计方法,通过工具软件logisim进行无符号数的乘法器的设计和验证,记录实验结果,验证设计是否达到要求。 通过无符号数的乘法器的设计、仿真、验证3个训练过程,使同学们掌握数字逻辑电路的设计、仿真、调试的方法。 3、实验所用设备 Logisim2.7.1软件一套。 4、实验内容 (1)四位乘法器设计 四位乘法器Mul4 4实现两个无符号的4位二进制数的乘法运算,其结构框图如图3-1所示。设被乘数为b(3:0),乘数为a(3:0),乘积需要8位二进制数表示,乘积为p(7:0)。 图3-1 四位乘法器结构框图 四位乘法器运算可以用4个相同的模块串接而成,其内部结构如图3-2所示。每个模块均包含一个加法器、一个2选1多路选择器和一个移位器shl。 图3-2中数据通路上的数据位宽都为8,确保两个4位二进制数的乘积不会发生溢出。shl是左移一位的操作,在这里可以不用逻辑器件来实现,而仅通过数据连线的改变(两个分线器错位相连接)就可实现。

a(0)a(1)a(2)a(3) 图3-2 四位乘法器内部结构 (2)32 4乘法器设计 32 4乘法器Mul32 4实现一个无符号的32位二进制数和一个无符号的4位二进制数的乘法运算,其结构框图如图3-3所示。设被乘数为b(31:0),乘数为a(3:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-3 32 4乘法器结构框图 在四位乘法器Mul4 4上进行改进,将数据通路上的数据位宽都改为32位,即可实现Mul32 4。 (3)32 32乘法器设计 32 32乘法器Mul32 32实现两个无符号的32位二进制数的乘法运算,其结构框图如图3-4所示。设被乘数为b(31:0),乘数为a(31:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-4 32 32乘法器结构框图 用32 4乘法器Mul32 4作为基本部件,实现32 32乘法器Mul32 32。 设被乘数为b(31:0)=(b31b30b29b28···b15b14b13b12···b4b3b2b1b0)2 乘数为a(31:0)=(a31a30a29a28···a15a14a13a12···a3a2a1a0)2 =(a31a30a29a28)2 228+···+ ( a15a14a13a12)2 212+···+ (a3a2a1a0)2 20

数字逻辑实验报告

. 武汉理工大学

2017 年月日 实验一:一位全加器 实验目的: 1. 掌握组合逻辑电路的设计方法; 2. 熟悉Vivado2014 集成开发环境和Verilog 编程语言; 3. 掌握1 位全加器电路的设计与实现。 试验工具: 1.Basys3 FPGA 开发板 2.Vivado2014 集成开发环境和Verilog 编程语言。 实验原理: Ci+A+B={Co,S} 全加器真表

全加器逻辑表达式 S=A○+B○+Ci Co=A.B+ (A○+B).Ci 全加器电路图 实验步骤: (一)新建工程: 1、打开 Vivado 2014.2 开发工具,可通过桌面快捷方式或开始菜单中 Xilinx Design Tools->Vivado 2014.2 下的 Vivado 2014.2 打开软件; 2、单击上述界面中 Create New Project 图标,弹出新建工程向导。 3、输入工程名称、选择工程存储路径,并勾选Create project subdirectory选项,为工程在指 定存储路径下建立独立的文件夹。设置完成后,点击Next。注意:工程名称和存储路径中不能出现中文和空格,建议工程名称以字母、数字、下划线来组成 4、选择RTL Project一项,并勾选Do not specify sources at this time,为了跳过在新建工 程的过程中添加设计源文件。 5、根据使用的FPGA开发平台,选择对应的FPGA目标器件。(在本手册中,以Xilinx大学计 划开发板Digilent Basys3 为例,FPGA 采用Artix-7 XC7A35T-1CPG236-C 的器件,即Family 和Subfamily 均为Artix-7,封装形式(Package)为CPG236,速度等级(Speed grade)为-1,温度等级(Temp Grade)为C)。点击Next。 6、确认相关信息与设计所用的的FPGA 器件信息是否一致,一致请点击Finish,不一致,请返 回上一步修改。 7、得到如下的空白Vivado 工程界面,完成空白工程新建。

华中科技大学数字逻辑实验报告

华中科技大学数字逻辑实验报告 姓名: 专业班级: 学号: 指导老师: 完成时间:

实验一:组合逻辑电路的设计 一、实验目的: 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能 3.学会二进制的运算规律。 二、实验所用组件: 二输入四与门74LS08,二输入四与非门74LS00,二输入四异或门74LS86,六门反向器74LS04芯片,三输入三与非门74L10,电线若干。 三、实验内容: 内容A:全加全减器。 实验要求: 一位全加/全减法器,如图所示: 电路做加法还是做减法运算是由M决定的,当M=0做加法,M=1做减法。当作为全加法起时输入A.B和Cin分别为加数,被加数和低位来的进位,S和数,Co位向上位的进位。当作为全减法器时输入信号A,B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上的借位。 实验步骤: 1.根据功能写出输入/输出观察表:

2. 3.做出卡诺图,确定输出和激励的函数表达式:

4.根据逻辑表达式作出电路的平面图: 5.检查导线以及芯片是否完好无损坏,根据平面图和逻辑表达式连接电路。 实验结果: 电路连接好后,经检测成功实现了一位全加/全减法器的功能。 内容B:舍入与检测电路的设计: 试验要求: 用所给定的集合电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四

舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大宇或等于(5)10时,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如下所示: (1)按照所设计的电路图接线,注意将电路的输入端接试验台的开关,通过拨动开关输入8421代码,电路输入按至试验台显示灯。 (2)每输入一个代码后观察显示灯,并将结果记录在输入/输出观察表中。 实验步骤 1.按照所给定的实验要求填写出F1,F2理论上的真值表。 2.根据真值表给出F1和F2的卡诺图。

数字逻辑电路实验

1.1 数电实验仪器的使用及门电路逻辑功能的测试 1.1.1 实验目的 (1)掌握数字电路实验仪器的使用方法。 (2)掌握门电路逻辑功能的测试方法。 1.1.2 实验设备 双踪示波器一台 数字电路实验箱一台 万用表一块 集成芯片:74LS00、74LS20 1.1.3 实验原理 图1.1是TTL系列74LS00(四2输入端与非门)的引脚排列图。 Y A B 其逻辑表达式为:=? 图1.2是TTL系列74LS20(双4输入端与非门)的引脚排列图。 Y A B C D 其逻辑表达式为:=??? 与非门的输入中任一个为低电平“0”时,输出便为高电平“1”。只有当所有输入都为高电平“1”时,输出才为低电平“0”。对于TTL逻辑电路,输入端如果悬空可看作逻辑“1”,但为防止干扰信号引入,一般不悬空。对于MOS逻辑电路,输入端绝对不允许悬空,因为MOS电路输入阻抗很高,受外界电磁场干扰的影响大,悬空会破坏正常的逻辑功能,因此使用时一定要注意。一般把多余的输入端接高电平或者和一个有用输入端连在一起。 1.1.4 实验内容及步骤 (1)测量逻辑开关及电平指示功能 用导线把一个数据开关的输出端与一个电平指示的输入端相连接,将数据开关置“0”位,电平指示灯应该不亮。将数据开关置“1”位,电平指示灯应该亮。以此类推,检测所有的数据开关及电平指示功能是否正常。

(2)检测脉冲信号源 给示波器输入脉冲信号,调节频率旋钮,可观察到脉冲信号的波形。改变脉冲信号的频率,示波器上的波形也应随之发生变化。 (3)检测译码显示器 用导线将四个数据开关分别与一位译码显示器的四个输入端相连接,按8421码进位规律拨动数据开关,可观察到译码显示器上显示0~9十个数字。 (4)与非门逻辑功能测试 ①逻辑功能测试 将芯片74LS20中一个4输入与非门的四个输入端A、B、C、D分别与四个数据开关相连接,输出端Y与一个电平指示相连接。电平指示的灯亮为1,灯不亮为0。根据表1.1中输入的不同状态组合,分别测出输出端的相应状态,并将结果填入表中。 表1.2 ②与非门对脉冲信号的反相传输及控制功能的测试 将芯片74LS00中一个2输入与非门的A输入端接频率为1kHz脉冲信号,B输入端接数据开关,输出端Y接示波器。用双踪示波器同时观察A输入端的脉冲波形和输出端Y的波形,并注意两者之间的关系。按表1.2中的不同输入方式测试,将结果填入表中。 1.1.5 预习要求与思考题 (1)阅读实验原理、内容及步骤。 (2)了解集成芯片引脚的排列规律。 (3)TTL集成电路使用的电源电压是多少? (4)TTL与非门输入端悬空相当于输入什么电平?为什么? (5)如何处理各种门电路的多余输入端。 1.1.6 实验报告及要求 (1)画出规范的测试电路图及各个表格。

数字逻辑实验指导书(1)

实验一 实验箱及小规模集成电路的使用 一 实验目的 1 掌握实验箱的功能及使用方法 2 学会测试芯片的逻辑功能 二 实验仪器及芯片 1 实验箱 2 芯片:74LS00 二输入端四与非门 1片 74LS86 二输入端四异或门 1片 74LS04 六非门 1片 三 实验内容 1 测试芯片74LS00和74LS86的逻辑功能并完成下列表格。 (1) 74LS00的14脚接+5V 电源,7脚接地;1、2、4、5、9、10、12、13脚接逻辑开关,3、6、8、11接发光二极管。(可以将1、4、9、12接到一个逻辑开关上,2、5、10、13接到一个逻辑开关上。)改变输入的状态,观察发光二极管。74LS86的接法74LS00基本一样。 表 74LS00的功能测试 表 74LS86的功能测试 (2)分析74LS00和74LS86的四个门是否都是完好的。 2 用74LS00和74LS04组成异或门,要求画出逻辑图,列出异或关系的真值表。 (3)利用74LS00和74LS04设计一个异或门。画出设计电路图。

实验二译码器和数据选择器 一实验目的 1继续熟悉实验箱的功能及使用方法 2掌握译码器和数据选择器的逻辑功能 二实验仪器及芯片 1 实验箱 2 芯片:74LS138 3线-8线译码器 1片 74LS151 八选一数据选择器 1片 74LS20 四输入与非门 1片 三实验内容 1 译码器功能测试(74LS138) 芯片管脚图如图所示,按照表连接电路,并完成表格。其中16脚接+5V,8脚接地,1~6脚都接逻辑开关,7、9、10、11、12、13、14、15接发光二极管。 表 2 数据选择器的测试(74LS151) 按照表连接电路,并完成表格。其中16脚接+5V,8脚接地;9、10、11,为地址输入端,接逻辑开关;4、3、2、1、12、13、14、15为8个数据输入端,接逻辑开关;G为选通输入端,Y为输出端,接发光二极管。

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

数字逻辑实验、知识点总结

数字逻辑实验报告、总结 专业班级:计算机科学与技术3班学号:41112115 姓名:华葱一、实验目的 1.熟悉电子集成实验箱的基本结构和基本操作 2.通过实验进一步熟悉各种常用SSI块和MSI块的结构、各管脚功能、工作原理连接方法 3.通过实验进一步理解MSI块的各输入使能、输出使能的作用(存在的必要性) 4.通过实验明确数字逻辑这门课程在计算机专业众多课程中所处的位置,进一步明确学习计算机软硬件学习的主线思路以 及它们之间的关系学会正确学习硬件知识的方法。 二、实验器材 1.集成电路实验箱 2.导线若干 3.14插脚、16插脚拓展板 4.各种必要的SSI块和MSI块 三、各次实验过程、内容简述 (一)第一次实验:利用SSI块中的门电路设计一个二进制一位半加器 1.实验原理:根据两个一位二进制数x、y相加的和与进 位的真值表,可得:和sum=x异或y,进位C out=x×y。 相应电路:

2. 实验内容: a) 按电路图连接事物,检查连接无误后开启电源 b) 进行测试,令={<0,0>,<0,1>,<1,0>,<1,1>}, 看输出位sum 和C out 的变化情况。 c) 如果输出位的变化情况与真值表所述的真值相应, 则达到实验目的。 (二) 第二次实验:全加器、74LS138译码器、74LS148编码器、 74LS85比较器的测试、使用,思考各个输入、输出使能端 的作用 1. 实验原理: a) 全加器 i. 实验原理: 在半加器的基础上除了要考虑当前两个二进制为相加 结果,还要考虑低位(前一位)对这一位的进位问题。 由于进位与当前位的运算关系仍然是和的关系,所以新 引入的低位进位端C in 应当与当前和sum 再取异或,而 得到真正的和Sum ;而进位位C out 的产生有三种情况: ={<1,1,0>,<1,0,1>,<0,1,1>},也就是说当x 、 y 、C in 中当且仅当其中的两个数为1,另一个数为0的 Sum Cout

数字逻辑实验教案

滁州学院计算机与信息工程学院 实验课程教案 课程名称:数字电路分析与设计 授课教师:姚光顺 授课对象:11网工、计科 授课时间:20XX年2月-2012月7月 滁州学院计算机与信息工程系 20XX年2月 《数字逻辑》实验教学大纲

课程编号: 课程名称:数字逻辑 英文名称:Digital Logic 课程类型:专业基础课 课程属性:独立设课 总学时:16总学分:0.5 开设学期:2 适用专业:计算机科学与技术网络工程 先修课程:大学物理电路原理 一、实验课程简介 数字逻辑实验,是数字逻辑课程教学内容的延伸和加强。在电子产品广泛应用的前提下,对于每一个大学生,具备一定电工电子基本知识和应用能力是必不可少的。因此,数字逻辑实验教学是按在相关理论教学的基础上,根据教学实际情况所开设的重点技术基础实验课程。通过实验,可以加深学生对课程内容中重点、难点的理解,培养其动手能力。 二、实验教学目标与基本要求 本课程的作用与任务是:使学生进一步掌握数字逻辑电路的分析与设计的基本方法,了解数字逻辑物理器件的主要技术参数,以及物理设计中的制作、调试、故障诊断的基本技能。要求学生在实验原理指导下,熟悉和掌握常用中、大规模集成电路的功能和在实际中应用的方法,具备基本电路的设计能力。培养学生检查与排除电路故障、分析和处理实验结果、分析误差和撰写实验报告的能力,旨在培养学生综合运用知识能力、严谨细致的工作作风和一丝不苟的科学态度。 三、本实验课程的基本理论与实验技术知识 本实验课基于门电路、组合逻辑电路、触发器、时序逻辑电路、A/D和D/A转换的基本理论而设定。 四、实验方法、特点与基本要求 实验分为实验预习、实验操作、实验总结三个步骤: 1、实验预习 1) 明确实验目的,理解实验原理; 2) 了解实验环境; 3) 了解实验方法,拟定实验的操作步骤; 2、实验操作 1) 建立实验环境,进行实验操作,培养实践动手能力 2) 实验过程中认真观察实验现象,详细记录实验结果 3) 实验结束前,整理好实验设备,经指导教师验收方可退出实验室 3、实验总结 通过对实验记录的整理,以加深对所学理论知识的理解,不断总结、积累经验,从而提高动手能力。

华中科技大学数字逻辑实验

数字逻辑实验报告(1)数字逻辑实验1 一、系列二进制加法器 设计50% 二、小型实验室门禁系 统设计50% 总成绩 姓名: 学号: 班级: 评语:(包含:预习报告内容、实验过程、实验结果及分析)

指导教师: 计算机科学与技术学院 20 年月日 数字逻辑实验报告系列二进制加法器设计预习报告

一、系列二进制加法器设计 1、实验名称 系列二进制加法器设计。 2、实验目的 要求同学采用传统电路的设计方法,对5种二进制加法器进行设计,并利用工具软件,例如,“logisim”软件的虚拟仿真功能来检查电路设计是否达到要求。 通过以上实验的设计、仿真、验证3个训练过程使同学们掌握传统逻辑电路的设计、仿真、调试的方法。 3、实验所用设备 Logisim2.7.1软件一套。 4、实验容 对已设计的5种二进制加法器,使用logisim软件对它们进行虚拟实验仿真,除逻辑门、触发器外,不能直接使用logisim软件提供的逻辑库元件,具体容如下。 (1)一位二进制半加器 设计一个一位二进制半加器,电路有两个输入A、B,两个输出S和C。输入A、B分别为被加数、加数,输出S、C为本位和、向高位进位。 (2)一位二进制全加器 设计一个一位二进制全加器,电路有三个输入A、B和Ci,两个输出S和Co。输入A、B和Ci分别为被加数、加数和来自低位的进位,输出S和Co为本位和和向高位的进位。 (3)串行进位的四位二进制并行加法器 用四个一位二进制全加器串联设计一个串行进位的四位二进制并行加法器,

电路有九个输入A3、A2、A1、A0、B3、B2、B1、B0和C0,五个输出S3、S2、S1、S0和C4。输入A= A3A2A1A0、B= B3B2B1B0和C0分别为被加数、加数和来自低位的进位,输出S= S3S2S1S0和Co为本位和和向高位的进位。 (4)先行进位的四位二进制并行加法器 利用超前进位的思想设计一个先行进位的四位二进制并行加法器,电路有九 个输入A 3、A 2 、A 1 、A 、B 3 、B 2 、B 1 、B 和C ,五个输出S 3 、S 2 、S 1 、S 和C 4 。输入 A= A 3A 2 A 1 A 、B= B 3 B 2 B 1 B 和C 分别为被加数、加数和来自低位的进位,输出S= S 3 S 2 S 1 S 和C o 为本位和和向高位的进位。 (5)将先行进位的四位二进制并行加法器封装成一个组件并验证它的正确性 将设计好的先行进位的四位二进制并行加法器进行封装,生成一个“私有”库元件并验证它的正确性,以便后续实验使用,封装后的逻辑符号参见图1-1所示。 图1-1“私有”的先行进位的四位二进制并行加法器 5、实验方案设计 (1)一位二进制半加器的设计方案

数字逻辑实验报告

计算机专业类课程 实 验 报 告 课程名称:数字逻辑 学院:计算机科学与工程学院专业:计算机科学与技术 学生姓名: 学号: 指导教师: 评分: 日期:2014年6月2日 电子科技大学计算机学院实验中心

电 子 科 技 大 学 实 验 报 告 实验一:基本门电路的功能和特性实验 实验时间: 2014.4.20 一、实验目的 I.了解集成电路的外引线排列及其使用方法 II. 掌握常用集成门电路的逻辑功能与特性 III.学习组合逻辑电路的设计及测试方法 IV.了解集成电路外引线的方式方法。 V.了解测试电路的基本方法 VI. 掌握常用集成门电路的逻辑功能与特性 实验内容 部分TTL 门电路逻辑功能验证包括: ● 二输入四与非门7400 ● 二输入四或门7432 ● 二输入四异或门7486 ● 6反相器7404 二、实验原理 1)逻辑代数系统满足的5条公理 ● 交换律:A B B A +=+ A B B A ?=? ● 结合律:)()(C B A C B A ++=++ )()(C B A C B A ??=?? ● 分配律:C A B A C B A ?+?=+?)( )()(C A B A C B A +?+=?+ ● 0-1律:A A =+0 11=+A A A =?1 00=?A ● 互补律:1=+A A 0=?A A 此外,还满足摩根定律:B A B A +=? B A B A ?=+ 2)实验涉及门电路的引脚图如图1.1所示

电子科技大学计算机学院实验中心 图1.1 3) 组合逻辑电路的分析思路: 4) 组合逻辑电路设计思路: 如果掌握了以上两种分析方法后,再对我们的需求进行分析,即可对一般电路进行分析、设计,从而可以正确的使用被分析的电路一级设计出能满足逻辑功能和技术指标要求的电路了! 写函数关系式 对函数式进行化简或变换; 根据最简式列真值表 判断逻辑功能 根据给定事件的因果关系列出真值表 由真值表写函数式 对函数式进行化简或变换 画出逻辑图,并测试逻辑功能

数字逻辑实验报告

数字逻辑实验报告:加法器

安徽师范大学 学院实验报告 专业名称软件工程 实验室 实验课程数字逻辑 实验名称加法器实验姓名 学号 同组人员 实验日期 2013.3.26

注:实验报告应包含(实验目的,实验原理,主要仪器设备和材料,实验过程 和步骤,实验原始数据记录和处理,实验结果和分析,成绩评定)等七项内容。具体内容可根据专业特点和实验性质略作调整,页面不够可附页。 实验目的:学会使用实验箱搭建基本组合逻辑电路。 实验原理:全加器是中规模组合逻辑器件,它实现二进制数码的加法运算,是计算机中最基本的运算单元电路。一位加法器有三个输入端Ai 、B i 、C i -1,即被加数,有两个输出端S i 和B i 即相加及向高一位的进位输出。 (全加真值表) Si=A i B i C i -1+A i B i C i -1+A i B i C i -1+A i B i C i -1 C i =A i B i +A i C i -1+B i C i -1 全加器主要用于数值运算;另外,全加器还可以实现组合逻辑函数。 主要仪器设备和材料:数字逻辑电路实验装置、芯片 74LS32、芯片 74LS08、 芯片74LS86,导线 实验过程和步骤: ①关闭实验箱的电源开关,将三个芯片正确地安装在实验箱装置上; ②分别用三根导线将三个芯片的第14号引脚与实验箱左下角的+5V 连接起来,,再分别用三根导线将三个芯片的第7号引脚与实验箱左下角的GND 连接 Ai B i C i -1 S i B i 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

数字逻辑实验

___计算机__学院___专业__1_班________组、学号姓名_______协作者______________ 教师评定_________________ 实验题目____________基于实验箱的数字逻辑实验_____________ 1.基本门电路 2.组合逻辑电路 3.时序逻辑电路

实验报告 基本门电路 一、实验目的 1、了解基本门电路的主要用途以及验证它们的逻辑功能。 2、学习“与或非门”有关参数的测试。 3、熟悉数字电路实验箱的使用方法。 二、实验仪器及器件 1、GDUT-J-1数字电路实验箱。 2、拨码开关SI1~SI8,LED灯LD_I1~LD_I16、LD_O1~LD_O16。 3、逻辑笔,示波器,数字万用表。 4、器件:74HC00、74HC02、74HC04、74HC08、74HC32、74HC86。 三、实验原理 数字电路研究的对象是电路的输入与输出之间的逻辑关系,这些逻辑关系是由逻辑门电路的组合来实现的。门电路是数字电路的基本逻辑单元。要实现基本逻辑运算和复合逻辑运算可用这些单元电路(门电路)进行搭建。门电路以输入量作为条件,输出量作为结果,输入与输出量之间满足某种逻辑关系(即“与、或、非、异或”等关系)。 电路输入与输出量均为二值逻辑的1和0两种逻辑状态。实验中用高低电平分别表示为正逻辑的1和0两种状态。 输出端的1和0两种逻辑状态可用两种方法判定:①将电路的输出端接实验仪的某一位LED,当某一位的LED灯亮时,该位输出高电平,表示逻辑“1”;LED灯不亮时,输出低电平,表示逻辑“0”。②用逻辑笔可以测量输出端的逻辑值。 四、实验结果和数据处理(见附表) 表2-1 74HC00(四2输入与非门)输入输出状态 输入端输出端Y A B LED(亮/灭)逻辑状态 0 0 亮 1 0 1 亮 1 1 0 亮 1 1

数字逻辑设计实验报告-实验13教材

浙江大学城市学院实验报告 课程名称 数字逻辑设计实验 实验项目名称 实验十二 数据选择器应用 学生姓名 专业班级 学号 实验成绩 指导老师(签名 ) 日期 注意: ● 务请保存好各自的源代码,已备后用。 ● 完成本实验后,将实验项目文件和实验报告,压缩为rar 文件,上传ftp 。如没有个人 文件夹,请按学号_姓名格式建立。 ftp://wujzupload:123456@10.66.28.222:2007/upload ● 文件名为:学号_日期_实验XX ,如30801001_20100305_实验0 1 一. 实验目的和要求 1. 掌握数据选择器的逻辑功能和使用方法。 2. 学习用数据选择器构成组合逻辑电路的方法。 二. 实验内容、原理及实验结果与分析 1、用74LS151实现逻辑函数 要求实现BC A AC C B A Y ++=,自己写出设计过程,画出接线图,并验证其逻辑功能。 计算得到m0=m7=0,m2=m4=m5=m6=1,m1=m3=D (1) 设计原理图 (2) 仿真,模拟验证,若组合成总线显示时,需要注意高低位

(3)组合输出信号 (4)配置管脚 (5)下载到FPGA

(6) 74LS151的输入端接逻辑电平输出(拨位开关),输出端Z 接逻辑电 平显示(发光二极管)。逐项测试电路的逻辑功能,记录测试结果。 2、用74LS151实现逻辑函数 要求实现逻辑函数C B CD A B A F ++=,自己写出设计过程,画出接线图,并验证其逻辑功能。芯片插法,电源、地线接法与实验内容1相同,这里只需要自己实现逻辑函数,然后连线实现其功能。 (1) 设计原理图 (2) 仿真,模拟验证,若组合成总线显示时,需要注意高低位

数字逻辑实验报告

理工大学 2017 年月日 实验一:一位全加器 实验目的: 1. 掌握组合逻辑电路的设计方法; 2. 熟悉 Vivado2014 集成开发环境和 Verilog 编程语言; 3. 掌握 1 位全加器电路的设计与实现。

试验工具: 1.Basys3 FPGA 开发板 2.Vivado2014 集成开发环境和 Verilog 编程语言。 实验原理: Ci+A+B={Co,S} 全加器真表 全加器逻辑表达式 S=A○+B○+Ci Co=A.B+ (A○+B).Ci 全加器电路图

实验步骤: (一)新建工程: 1、打开 Vivado 2014.2 开发工具,可通过桌面快捷方式或开始菜单中 Xilinx Design Tools->Vivado 2014.2 下的 Vivado 2014.2 打开软件; 2、单击上述界面中 Create New Project 图标,弹出新建工程向导。 3、输入工程名称、选择工程存储路径,并勾选Create project subdirectory选项,为工程在指 定存储路径下建立独立的文件夹。设置完成后,点击Next。注意:工程名称和存储路径中不能出现中文和空格,建议工程名称以字母、数字、下划线来组成 4、选择RTL Project一项,并勾选Do not specify sources at this time,为了跳过在新建工 程的过程中添加设计源文件。 5、根据使用的FPGA开发平台,选择对应的FPGA目标器件。(在本手册中,以Xilinx大学计 划开发板Digilent Basys3 为例,FPGA 采用Artix-7 XC7A35T-1CPG236-C 的器件,即Family 和 Subfamily 均为 Artix-7,封装形式(Package)为 CPG236,速度等级(Speed grade)为-1,温度等级(Temp Grade)为 C)。点击 Next。 6、确认相关信息与设计所用的的 FPGA 器件信息是否一致,一致请点击 Finish,不一致,请返 回上一步修改。 7、得到如下的空白 Vivado 工程界面,完成空白工程新建。 (二)设计文件输入: 8、点击 Flow Navigator 下的 Project Manager->Add Sources 或中间 Sources 中的对话框打 开设计文件导入添加对话框。 9、选择第二项 Add or Create Design Sources,用来添加或新建 Verilog 源文件。 10、如果有现有的 V 文件,可以通过 Add Files 一项添加。在这里,我们要新建文件,所以 选择 Create File 一项。 11、在 Create Source File 中输入 File Name,这里为 full_adder,点击 OK。注:名称中 不可出现中文和空格。 12、新建的设计文件(此处为 full_adder.v)即存在于 Sources 中的 Design Sources 中。 打开该文件,输入相应的设计代码。 根据已知的电路图得到以下 verilog 代码:

数字逻辑实验三实验四

数字逻辑实验三实验四 This model paper was revised by the Standardization Office on December 10, 2020

实验报告 课程名称电子技术综合设计与实践 题目名称实验三、实验四 学生学院自动化学院 专业班级物联网工程 学号 学生姓名 指导教师 2016 年 6 月 26 日 一、实验目的 1、(实验三)用两片加法器芯片74283配合适当的门电路完成两个BCD8421码的加法运算。 2、(实验四)设计一个计数器完成1→3→5→7→9→0→2→4→6→8→1→…的循环计数(设初值为1),并用一个数码管显示计数值(时钟脉冲频率为约1Hz)。 二、功能描述及分析 实验三:

(1)分别用两个四位二进制数表示两个十进制数,如:用A 3 A 2 A 1A 0表示被加数,用B 3B 2B 1B 0表示加数,用S 3 S 2 S 1 S 0表示“和”,用C 0表示进位。 (2)由于BCD8421码仅代表十进制的0—9,所以加法修正规则:当S>9时,修正值为D 3D 2D 1D 0=0110; 当S<9时,修正值为D 3D 2D 1D 0=0000。 (3)由真值表,我们可以得出D 3=D 0=0,D 2=D 1=FC 4 + S 4(S 3+S 2) 实验四: (1)分别用四位二进制数来表示十进制数,触发器状态用DCBA 表示,10个技术状态中的初值状态为0001。 (2)列出状态表,如下

(3)得出次态方程: D n+1=BC, C n+1=B⊕C, B n+1=A D, A n+1=A⊕D (4)选用D触发器来实现,求触发器激励函数 D 4=BC, D 3 =B⊕C, D 2 =A D, D 1 =A⊕D (5)画出逻辑电路图如下: (6)四个触发器输出端一次输入到7447数码管译码器输入端。 三、实验器材 实验三: (1)两片加法器芯片74283,两个或门,一个与门,8个按键,5个LED显示灯。 (2)DE2开发板和QuartusⅡ软件 实验四:

数字逻辑实验报告1

姓名xxx学号xxxxxxxx 教师 xxx 时间xxx地点xxx楼xxx机房机位 一.与非门逻辑功能测试实验 1.实验目的 1)熟悉TTL中、小规模集成电路的外形、管脚和使用方法。 2)了解和掌握基本逻辑门电路的输入与输出之间的逻辑关系及使用规则。 3)测试与非门74LS00芯片的逻辑功能。 4)根据测试结果完成74LS00的真值表1-4。 2.原理 实现基本逻辑运算和常用逻辑运算的单元电路通称为逻辑门电路。实现“与非”运算的电子电路称为与非门。根据制造工艺不同,逻辑门电路有两大类,一类是以晶体三极管为主要元件的双极型逻辑门电路,另一类是MOS场效应管为主要元件的MOSx型逻辑门电路。根据门电路输出端结构不同,又分为基本输出门电路、开路输出门电路、三台门电路。门电路用高电平表示逻辑值“1”,低电平表示逻辑值“0”。只有相同类型的门电路,其电平才相匹配。 参照74LS00芯片的引脚,将引脚1、2(A、B)分别连接到任意一个小开关插孔上,引脚3(F)连接到任意一个发光二极管电平指示灯插孔,引脚7连接接地插孔,引脚14连接+5V电源插孔,这样就构成了一个与非门电路。 拨动开关(开关拨向下方为0,拨向上方为1)组合A、B的值,观察F(上方的发光二极管指示0,下方的发光二极管指示1)的结果。 3.实验步骤 1)将74LS00的输入引脚连接到任一开关,输出连接到任一对发光二极管。 引脚7连接“接地插孔”;引脚14连接+5V电源插孔。 2)拨动开关,观察二极管的变化,填表1-4。 4.实验数据 表1-4 5.实验现象 在与非门中,只有当A和B的输入都为1时,输出才为0。由于上方的灯亮

数字逻辑实验报告模板

实验名称: 数字逻辑实 验 系 别: 年 级: 专 业: 班 级: 学 号: 姓 名: 成 绩: 任课教师: 2016 年 5 月 21 日 试验一门电路逻辑功能及测试

1、实验目的 1、熟悉门电路逻辑功能 2、熟悉数字逻辑学习机及示波器的使用 2、实验仪器及材料 器件: 74LS00 二输入端四与非门 2片 74LS20 四输入端双与非门 1片 74LS86 二输入端四异或门 1片 3、预习要求 1、复习门电路工作原理及相应的逻辑表达式 2、熟悉所用集成电路的引线位置及各引线用途 3、了解双踪示波器使用方法 4、实验内容 实验前先检查机器电源是否正常。 1、测试门电路逻辑功能 (1)选用双四输入与非门74LS20一只,插入电路板,连线后根据芯片图检测门电路功能是否正确。 (2)将电平开关按表1.1置位,分别测出输出电压及逻辑状态。 表1.1 输入输出 1234Y H H H H L H H H L L H H L L L H

L L L L 2、 异或门逻辑功能测试 (1)二输入四异或门电路74LS86,按图1.2接线,输入端1,2,4,5接电平开关,输出端A、B、y接电平显示发光二 极管。 (2)将电平开关按表1.2置位,将结果填入表中。 输入 输出 A B Y L L H L H H H H H H L H L L L L L L H L H H L H 3、逻辑电路的逻辑关系 (1)用74LS00按图1.3,1.4接线,将输入输出的逻辑关系分别填入表1.3,1.4中。

(2)写出上面两个电路逻辑表达式。 5、思考 怎样判断门电路逻辑功能是否正常?

相关文档