文档库 最新最全的文档下载
当前位置:文档库 › 常见的时序逻辑电路

常见的时序逻辑电路

常见的时序逻辑电路
常见的时序逻辑电路

(完整版)时序逻辑电路习题与答案

第12章时序逻辑电路 自测题 一、填空题 1.时序逻辑电路按状态转换情况可分为时序电路和时序电路两大类。 2.按计数进制的不同,可将计数器分为、和N进制计数器等类型。 3.用来累计和寄存输入脉冲个数的电路称为。 4.时序逻辑电路在结构方面的特点是:由具有控制作用的电路和具记忆作用电路组成。、 5.、寄存器的作用是用于、、数码指令等信息。 6.按计数过程中数值的增减来分,可将计数器分为为、和三种。 二、选择题 1.如题图12.1所示电路为某寄存器的一位,该寄存器为 。 A、单拍接收数码寄存器; B、双拍接收数码寄存器; C、单向移位寄存器; D、双向移位寄存器。 2.下列电路不属于时序逻辑电路的是。 A、数码寄存器; B、编码器; C、触发器; D、可逆计数器。 3.下列逻辑电路不具有记忆功能的是。 A、译码器; B、RS触发器; C、寄存器; D、计数器。 4.时序逻辑电路特点中,下列叙述正确的是。 A、电路任一时刻的输出只与当时输入信号有关; B、电路任一时刻的输出只与电路原来状态有关; C、电路任一时刻的输出与输入信号和电路原来状态均有关; D、电路任一时刻的输出与输入信号和电路原来状态均无关。 5.具有记忆功能的逻辑电路是。 A、加法器; B、显示器; C、译码器; D、计数器。 6.数码寄存器采用的输入输出方式为。 A、并行输入、并行输出; B、串行输入、串行输出; C、并行输入、串行输出; D、并行输出、串行输入。 三、判断下面说法是否正确,用“√"或“×"表示在括号 1.寄存器具有存储数码和信号的功能。( ) 2.构成计数电路的器件必须有记忆能力。( ) 3.移位寄存器只能串行输出。( ) 4.移位寄存器就是数码寄存器,它们没有区别。( ) 5.同步时序电路的工作速度高于异步时序电路。( ) 6.移位寄存器有接收、暂存、清除和数码移位等作用。() 思考与练习题 12.1.1 时序逻辑电路的特点是什么? 12.1.2 时序逻辑电路与组合电路有何区别? 12.3.1 在图12.1电路作用下,数码寄存器的原始状态Q3Q2Q1Q0=1001,而输入数码

时序逻辑电路在实际中的应用

时序逻辑电路在实际中的应用 时序逻辑电路是一种重要的数字逻辑电路,其特点是电路任何一个时刻的输出状态不仅取决于当时的输入信号,而且与电路的原状态有关,具有记忆功能。构成组合逻辑电路的基本单元是逻辑门,而构成时序逻辑电路的基本单元是触发器。时序逻辑电路在实际中的应用很广泛,数字钟、交通灯、计算机、电梯的控制盘、门铃和防盗报警系统中都能见到。主要介绍典型的时序逻辑部件:集成计数器的识别与应用,集成寄存器的识别与应用;时序逻辑电路的分析和设计。 计数器在计算机及各种数字仪表中应用广泛,具有记忆输入脉冲个数的功能,还可以实现分频、定时等。计数器种类繁多,按技术体制可分为二进制计数器和N进制计数器;按增减趋势可分为加计数器和减计数器;按技术脉冲引入方式可分为同步计数器和异步计数器。同步计数器的特点是构成计数器的所有触发器共用同一个时钟脉冲,触发器的状态同时更新,计数速度快;而异步计数的特点是构成计数器的触发器不共用同一个时钟脉冲,所有触发器更新状态的时刻不一致,计数速度相对较慢。在实际应用中,计数器是以集成电路形式存在的,主要有集成二进制计数器、集成十进制计数器两大类,其他进制计数器可由它们通过外电路设计来实现。在每一大类计数器中,又以同步与异步、加计数与可逆计数来细分。 寄存器具有接收数码、存放或传递数码的功能,由触发器和逻辑门组成。其中,触发器用来存放二进制数,逻辑门用来控制二进制数的接收、传送和输出。由于一个触发器只能存放1位二进制数,因此,存放n位二进制数的n位寄存器,需要n个触发器来组成。寄存器有数码寄存器和移位寄存器2种。输入输出方式有并入-并出、并入-串出、串入-并出、串入-串出4种。当寄存器的每一位数码由一个时钟脉冲控制同时接收或输出时,称为并入或并出。而每个时钟脉冲只控制寄存器按顺序逐位移入或移出数码时,称为串入或串出。移位寄存器除了具有存储数码的功能以外,还具有移位功能。所谓移位功能,是指寄存器里存储的数码能在时钟脉冲作用下依次左移或右移。因此,移位寄存器不仅可以用来寄存数码,而且可以用来实现数码的串行-并行转换。 时序逻辑电路的分析实际上是一个读图、识图的过程,就是根据给定的时序逻辑电路,通过分析其状态和输出信号在输入变量和时钟作用下的转换规律,理解其逻辑功能和工作特性。时序逻辑电路的设计是时序逻辑电路分析的逆过程,就是根据给定的逻辑问题,设计出满足要求的时序逻辑电路。设计时序逻辑电路的任务就是根据给定的逻辑问题,设计出满足要求的时序逻辑电路。在实际应用中,常用集成触发器和门电路配合来设计时序逻辑电路。通常,电路设计最简的标准是:所用的触发器和门电路的数量以及门的输入端数目尽可能少。 1. 时序逻辑电路分析的一般步骤 时序逻辑电路分析的一般步骤可归纳为:写方程式、求状态方程、进行计算、画状态转换图(或状态转换表)、确定电路的逻辑功能等。 1)写方程式 仔细观察、分析时序电路,然后再逐一写出以下3个方程。 ①时钟方程:各个触发器时钟信号的逻辑表达式。 ②输出方程:时序电路各个输出信号的逻辑表达式。 ③驱动方程:各个触发器输入端信号的逻辑表达式。 2)求状态方程 把驱动方程代入相应触发器的特性方程,即可求出时序电路的状态方程。

电子技术——几种常用的时序逻辑电路习题及答案

第七章 几种常用的时序逻辑电路 一、填空题 1.(9-1易)与组合逻辑电路不同,时序逻辑电路的特点是:任何时刻的输出信号不仅与____________有关,还与____________有关,是______(a.有记忆性b.无记忆性)逻辑电路。 2.(9-1易)触发器是数字电路中______(a.有记忆b.非记忆)的基本逻辑单元。 3.(9-1易)在外加输入信号作用下,触发器可从一种稳定状态转换为另一种稳定状态,信号终止,稳态_________(a.不能保持下去 b. 仍能保持下去)。 4.(9-1中)JK 触发器是________(a.CP 为1有效b.CP 边沿有效)。 5.(9-1易)1n n n Q JQ KQ +=+是_______触发器的特性方程。 6.(9-1中)1n n Q S RQ +=+是________触发器的特性方程,其约束条件为___________。 7.(9-1易)1n n n Q TQ TQ +=+是_____触发器的特征方程。 8. (9-1中)在T 触发器中,若使T=____,则每输入一个CP ,触发器状态就翻转一次,这种具有翻转功能的触发器称为'T 触发器,它的特征方程是________________。 9.(9-1难)我们可以用JK 触发器转换成其他逻辑功能触发器,令 __________________,即转换成T 触发器;令_______________, 即转换为'T 触发器;令________________,即转换成D 触发器。 10.(9-1难)我们可以用D 触发器转换成其他逻辑功能触发器,令 __________________,即转换成T 触发器;令_______________, 即转换为'T 触发器。

时序逻辑电路51时序逻辑电路的基本概念1时序逻辑电路

第5章时序逻辑电路 5.1 时序逻辑电路的基本概念 1.时序逻辑电路的结构及特点 时序逻辑电路在任何时刻的输出状态不仅取决于当时的输入信号,还与电路的原状态有关,触发器就是最简单的时序逻辑电路,时序逻辑电路中必须含有存储电路。时序电路的基本结构如图 5.1 所示,它由组合电路和存储电路两部分组成。 图5.1 时序逻辑电路框图 时序逻辑电路具有以下特点: (1)时序逻辑电路通常包含组合电路和存储电路两个组成部分,而存储电路要记忆给定时刻前的输入输出信号,是必不可少的。 (2)时序逻辑电路中存在反馈,存储电路的输出状态必须反馈到组合电路的输入端,与输入信号一起,共同决定组合逻辑电路的输出。 2.时序逻辑电路的分类 (1)按时钟输入方式 时序电路按照时钟输入方式分为同步时序电路和异步时序电路两大类。同步时序电路中,各触发器受同一时钟控制,其状态转换与所加的时钟脉冲信号都是同步的;异步时序电路中,各触发器的时钟不同,电路状态的转换有先有后。同步时序电路较复杂,其速度高于异步时序电路。 (2)按输出信号的特点 根据输出信号的特点可将时序电路分为米里(Mealy)型和摩尔(Moore)型两类。米里型电路的外部输出Z既与触发器的状态Q n有关,又与外部输入X有

关。而摩尔型电路的外部输出Z仅与触发器的状态Q n有关,而与外部输入X无关。 (3)按逻辑功能 时序逻辑电路按逻辑功能可划分为寄存器、锁存器、移位寄存器、计数器和节拍发生器等。 3.时序逻辑电路的逻辑功能描述方法 描述一个时序电路的逻辑功能可以采用逻辑方程组(驱动方程、输出方程、状态方程)、状态表、状态图、时序图等方法。这些方法可以相互转换,而且都是分析和设计时序电路的基本工具。 5.2 时序逻辑电路的分析方法和设计方法 1.时序逻辑电路的分析步骤 (1)首先确定是同步还是异步。若是异步,须写出各触发器的时钟方程。(2)写驱动方程。 (3)写状态方程(或次态方程)。 (4)写输出方程。若电路由外部输出,要写出这些输出的逻辑表达式,即输出方程。 (5)列状态表 (6)画状态图和时序图。 (7)检查电路能否自启动并说明其逻辑功能。 5.2.1 同步时序逻辑电路的设计方法 1.同步时序逻辑电路的设计步骤 设计同步时序电路的一般过程如图5.10所示。 图5.10 同步时序电路的设计过程

时序逻辑电路练习题90281

一、填空题 1. 基本RS触发器,当R、S都接高电平时,该触发器具有____ ___功能。 2.D 触发器的特性方程为___ ;J-K 触发器的特性方程为______。 3.T触发器的特性方程为。 4.仅具有“置0”、“置1”功能的触发器叫。 5.时钟有效边沿到来时,输出状态和输入信号相同的触发器叫____ _____。 6. 若D 触发器的D 端连在Q端上,经100 个脉冲作用后,其次态为0,则现态应 为。 7.JK触发器J与K相接作为一个输入时相当于触发器。 8. 触发器有个稳定状态,它可以记录位二进制码,存储8 位二进制信息 需要个触发器。 9.时序电路的次态输出不仅与即时输入有关,而且还与有关。 10. 时序逻辑电路一般由和两部分组成的。 11. 计数器按内部各触发器的动作步调,可分为___ ___计数器和____ __计数器。 12. 按进位体制的不同,计数器可分为计数器和计数器两类;按计数过 程中数字增减趋势的不同,计数器可分为计数器、计数器和计数器。13.要构成五进制计数器,至少需要级触发器。 14.设集成十进制(默认为8421码)加法计数器的初态为Q4Q3Q2Q1=1001,则 经过5个CP脉冲以后计数器的状态为。 15.将某时钟频率为32MHz的CP变为4MHz的CP,需要个二进制计数器。 16. 在各种寄存器中,存放N 位二进制数码需要个触发器。 17. 有一个移位寄存器,高位在左,低位在右,欲将存放在该移位寄存器中的二 进制数乘上十进制数4,则需将该移位寄存器中的数移位,需要 个移位脉冲。 18.某单稳态触发器在无外触发信号时输出为0态,在外加触发信号时,输出跳 变为1态,因此其稳态为态,暂稳态为态。 19.单稳态触发器有___ _个稳定状态,多谐振荡器有_ ___个稳定状态。 20.单稳态触发器在外加触发信号作用下能够由状态翻转到状 态。 21.集成单稳态触发器的暂稳维持时间取决于。 22. 多谐振荡器的振荡周期为T=tw1+tw2,其中tw1为正脉冲宽度,tw2为负脉冲 宽度,则占空比应为____ ___。 23.施密特触发器有____个阈值电压,分别称作___ _____ 和___ _____ 。 24.触发器能将缓慢变化的非矩形脉冲变换成边沿陡峭的矩形脉冲。 25.施密特触发器常用于波形的与。 二、选择题 1. R-S型触发器不具有( )功能。 A. 保持 B. 翻转 C. 置1 D. 置0 2. 触发器的空翻现象是指() A.一个时钟脉冲期间,触发器没有翻转 B.一个时钟脉冲期间,触发器只翻转一次 C.一个时钟脉冲期间,触发器发生多次翻转 D.每来2个时钟脉冲,触发器才翻转一次 3. 欲得到D触发器的功能,以下诸图中唯有图(A)是正确的。

总结时序电路的特点

篇一:时序电路实验总结 时序电路实验总结 1.掌握用仿真工具分析电路的方法: 在电路中增加测试点,通过波形仿真观察终结节点的输出信号,帮助分析电路特性。 2.修改电路中出现的问题: tj:tj与start反馈信号相与非后(0)直接接入clrn端,使得7474的1q端start信号马上变为0,即输出时钟脉冲t1。。。t4为0。可是start反馈信号又马上与tj相与非(1),使clrn端无效。使其结果不稳定。 3.最佳修改方案 tj(全停):tj取反直接连到clrn,使其7474的1q(start)为0。 zt(暂停):zt与h 与非接7474的clk。 4.时序电路的运用 可运用到存储器实验中,不改变原电路而实现连读的功能。通过时序电路输出的节拍脉冲去控制74161(地址计数器)、72273(地址寄存器)、lmp-ram-io中的数据分时在总线上显示。 1.仿真时控制信号qd、tj、dp、zanting应展开; 2.注意几个状态之间的转换,仿真图要看到明显的效果。例如连续运行状态应有两个以上的ti-t4出现, 3.暂停应该可以在t1、t2、t3、t4的每个节拍上实现。 4. 篇二:数字电路特点归纳 数字电路又可称为逻辑电路,通过与(&),或(>=1),非(o),异或(=1),同或(=)等门电路来实现逻辑。 ttl和cmos电路:ttl是晶体管输入晶体管输出逻辑的缩写,它用的电源为5v。cmos电路是由pmos管和nmos管(源极一般接地)组合而成,电源电压范围较广,从1.2v-18v都可以。 cmos的推挽输出:输出高电平时n管截止,p管导通;输出低电平时n管导通,p管截止。输出电阻小,因此驱动能力强。 cmos门的漏极开路式:去掉p管,输出端可以直接接在一起实现线与功能。如果用cmos管直接接在一起,那么当一个输出高电平,一个输出低电平时,p管和n管同时导通,电流很大,可能烧毁管子。单一的管子导通,只是沟道的导通,电流小,如果两个管子都导通,则

第八章时序逻辑电路学习资料

第八章时序逻辑电路

第八章时序逻辑电路 第一节寄存器 一、单项选择题 1.N个触发器可以构成能寄存位二进制数码的寄存器。() A.N-1 B.N C.N+1 D.2N 2.存储8位二进制信息要个触发器。 A.2 B.3 C.4 D.8 3.8位移位寄存器,串行输入时经个脉冲后,8位数码全部移入寄存器中。 A.1 B.2 C.4 D.8 4.有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP作用下,四位数据的移位过程是() A.1011-0110-1100-1000-0000 B.1011-0101-0010-0001-0000 C.1011-1100-1101-1110-1111 D.1011-1010-1001-1000-0111 5.由三级触发器构成环形计数器的计数摸值为( ) A.8 B.6 C.3 D.16 6.如图8-7所示电路的功能为()A.并行输入寄存器 B.移位寄存器 C.计数器 D.序列信号发生器 7.由四位移位寄存器构成的顺序脉冲发生器可产生个顺序脉冲。() A.2 B.4 C.8 D.16 8.现欲将一个数据串延时4个CP的时间,则最简单的办法采用() A.4位并行寄存器 B.4位移位寄存器 C.4进制计数器 D.4位加法器 二、判断题 1.时序电路中不含有记忆功能的器件。( ) 2.移位寄存器74LS194可串行输入并行输出,但不能串行输入串行输出。() 仅供学习与交流,如有侵权请联系网站删除谢谢2

3.时序逻辑电路在某一时刻的输出状态与该时刻之前的输入信号无关。( ) 4.时序电路一定不要组合电路。() 三、多项选择题 1.寄存器按照功能不同可分为() A.数据寄存器 B.移位寄存器 C.暂存器 D.计数器 2.数码寄存器的特点是() A.存储时间短 B.速度快 C.可做高速缓冲器 D.一旦停电后存储数码全部消失 3.移位寄存器按移位方式可分为() A.左移移位寄存器 B.右移移位寄存器 C.双向移位寄存器 D.集成移位寄存器 第二节计数器 一、填空题1.触发器有个稳定状态,它可以记录位二进制码,存储8位二进制信息需要个触发器。 2.按进位体制的不同,计数器可分为计数器和计数器等;按计数过程中数字增减趋势的不同,计数器可分为计数器、计数器和计数器。 3.要构成五进制计数器,至少需要个触发器。 4.设集成十进制(默认为8421码)加法计数器的初态为Q3Q2Q1Q0=1001,则经过5个CP 脉冲以后计数器的状态为 . 5.在各种寄存器中,存放N位二进制数码需要个触发器。 二、单项选择题 1.按各触发器的CP所决定的状态转换区分,计数器可分为计数器。() A.加法、减法和可逆 B.同步和异步 C.二、十和N进制 D.以上均不正确 2.将一个D触发器处于技术状态时,下列做法正确的是() A.D端接固定高电平 B.D端悬空 C.D端与Q端相联 D.D与Q非端相联 仅供学习与交流,如有侵权请联系网站删除谢谢3

实验十 Moore型同步时序逻辑电路的分析与设计

实验十Moore型同步时序逻辑电路的分析与设计 一.实验目的: 1.同步时序逻辑电路的分析与设计方法 2.掌握时序逻辑电路的测试方法。 二.实验原理: 1.Moore同步时序逻辑电路的分析方法: 时序逻辑电路的分析,按照电路图(逻辑图),选择芯片,根据芯片管脚,在逻辑图上标明管脚号;搭接电路后,根据电路要求输入时钟信号(单脉冲信号或连续脉冲信号),求出电路的状态转换图或时序图(工作波形),从中分析出电路的功能。 2.Moore同步时序逻辑电路的设计方法: (1)分析题意,求出状态转换图。 (2)状态分析化简:确定等价状态,电路中的等价状态可合并为一个状态。(3)重新确定电路状态数N,求出触发器数n,触发器数按下列公式求:2n-1

(7)利用卡诺图如图2,求状态方程、驱动方程。 (8)自启动检验:将各无效状态代入状态方程,分析状态转换情况,画出完整的 状态转换图,如图3所示,检查是否能自启动。

时序逻辑电路(

第六章时序逻辑电路 内容提要 【熟悉】触发器四种电路结构及动作特点,四种逻辑功能及其逻辑关系、逻辑符号,逻辑功能的四种描述方法 【掌握】时序电路的特点和一般分析方法 【熟悉】寄存器的功能、分类及使用方法, 双向移位寄存器的级联【掌握】计数器的功能和分类,级联法、置位法构成N进制计数器【掌握】555定时器构成三种电路的工作特点、连接方法及主要参数一.一.网上导学 二.二.典型例题 三.三.本章小结 四.四.习题答案 网上导学 §6.1时序逻辑电路的特点 时序逻辑电路的特点:任意时刻的输出不仅取决于该时刻的输入,而 且还和电路原来的状态有关,所以时序电路具有记 忆功能。 在第五章中,向大家介绍了组合电路。 组合电路的特点是其任意时刻的输出状态仅取决于该时刻的输入状态。 2.时序电路逻辑功能描述方法 在上面给出的时序电路结构框图中,包括组合逻辑电路和具有记忆功能的存储电路。 输出变量y1,y2,y3。。。。y b,合称输出矢量Y(t)。 输入变量x1,x2,x3。。。。x a,合称输入矢量X(t)。 同样,存储电路的输入、输出称之为矢量P(t)和矢量Q(t)

按照结构图,我们可以列出三组方程:设tn+1,tn分别为相邻的两个离散的时间瞬间。 矢量Y(tn)是X(tn),Q(tn)的函数,称输出方程。 矢量P(tn)是X(tn),Q(tn)的函数,称驱动方程。 矢量Q(tn+1)是P(tn),Q(tn)的函数,称状态方程。 本节问答题 1.1.什么叫组合逻辑电路? 2.2.什么叫时序逻辑电路? 3.3.它们在逻辑功能和电路结构上各有什么特点? 4.4.在时序电路中,时间量tn+1,tn各是怎样定义的?描述时序电路功能需要几个方程,它们各表示什么含义? §6.2触发器 在这一节中,向大家介绍一种最基本的存储电路触发器(flip-flop)。触发器具有以下基本特点: (1)具有两个稳定的(0和1)状态,能存储一位二进制信息; (2)根据不同的输入,可将输出置成0或1状态; (3)当输入信号消失后,被置成的状态能保存下来。 6.2.1 基本RS触发器 一.电路结构及逻辑符号 在本书第三章里,我们讲了各种门电路,若把两个反相器按照a 图的形式连接起来,可以看出,A点和B点信号是反相的,而A点和C点始终保持同一电平。这样,可以把A,C视为同一点(下面的b 图和c图)。在C图中,A,B两点始终反相,而且电路状态稳定,在没有外界干扰或者触发的状态下,电路能够保持稳定的输出。(这一

同步时序逻辑电路的分析方法

时序逻辑电路的分析方法 时序逻辑电路的分析:根据给定的电路,写出它的方程、列出状态转换真值表、画出状态转换图和时序图,而后得出它的功能。 同步时序逻辑电路的分析方法 同步时序逻辑电路的主要特点:在同步时序逻辑电路中,由于所有触发器都由同一个时钟脉冲信号CP来触发,它只控制触发器的翻转时刻,而对触发器翻转到何种状态并无影响,所以,在分析同步时序逻辑电路时,可以不考虑时钟条件。 1、基本分析步骤 1)写方程式: 输出方程:时序逻辑电路的输出逻辑表达式,它通常为现态和输入信号的函数。 驱动方程:各触发器输入端的逻辑表达式。 状态方程:将驱动方程代入相应触发器的特性方程中,便得到该触发器的状态方程。 2)列状态转换真值表: 将电路现态的各种取值代入状态方程和输出方程中进行计算,求出相应的次态和输出,从而列出状态转换真值表。如现态的起始值已给定时,则从给定值开始计算。如没有给定时,则可设定一个现态起始值依次进行计算。 3)逻辑功能的说明: 根据状态转换真值表来说明电路的逻辑功能。 4)画状态转换图和时序图: 状态转换图:是指电路由现态转换到次态的示意图。 时序图:是在时钟脉冲CP作用下,各触发器状态变化的波形图。 5)检验电路能否自启动 关于电路的自启动问题和检验方法,在下例中得到说明。

2、分析举例 例、试分析下图所示电路的逻辑功能,并画出状态转换图和时序图。 解:由上图所示电路可看出,时钟脉冲CP加在每个触发器的时钟脉冲输入端上。因此,它是一个同步时序逻辑电路,时钟方程可以不写。 ①写方程式: 输出方程: 驱动方程: 状态方程: ②列状态转换真值表: 状态转换真值表的作法是: 从第一个现态“000”开始,代入状态方程,得次态为“001”,代入输出方程,得输出为“0”。

实验四:时序逻辑电路的应用

时序逻辑电路的应用 ●实验目的: 1.实现0-9十进制数计数(使用74LS90,74LS47芯片);2.实现六进制数计数(使用74LS90,74LS47芯片,异步置零);3.实现0 2 4 6 8 1 3 5 7 9 的计数。 ●实验原理: 1.要使数字显示译码器显示0-9的计数,必须在输入端接入74LS47译码器的输出,而该译码器需要在输入端引入 8421BCD码; 这样以来,需要用74LS90输出8421BCD码,可通过以下过程 实现:时钟信号 CP1(输入) Q0(输出) CP2 (输入) Q3Q2Q1Q0(输出8421BCD码,Q3为最高位)。 电路图如图一: 图表1

2. 列出74LS90的输出的8421BCD 码与数字显示译码器译码器显 示数字之间的关系: 从这张表格我们可以看到:当输出为0110时,输出应该自动清零;同时我们发现,该时刻Q 2 Q 1同时为一,之前的其它组合并没有这个特点;而且74LS90有两个清零端RV1和RV2,当同时为一是,便自动清零。于是我们只需要将Q 2 Q 1反馈到RV1 RV2,同时74LS4 D 端接地,便 能实现六进制数计数。 电路图如图二: 图表 2 3. 列出74LS90的输入与数字显示译码器译码器显示数字之间 Q 3 Q 2 Q 1 Q 0 显示 0 0 0 0 0 0 0 1 1 0 0 1 0 2 0 0 1 1 3 0 1 0 0 4 0 1 0 1 5 1 1 0 0(6)

的关系和5421BCD 码: 观察以上两张表:将右表的Q 0列移动至最后一列,便得到左表,由此我们可以用74LS90产生5421BCD 码,然后将最高位接入74LS47的最低位A 端,其余依次由高到低接入D C B 。 5421BCD 码的产生方法为:时钟信号 CP 2(输入) Q 3 (输出) CP 1(输入) Q 0Q 3Q 2Q 1(输出5421BCD 码,Q 0为最高位)。 电路图如下图: Q 3 Q 2 Q 1 Q 0 显示 0 0 0 0 0 0 0 1 0 2 0 1 0 0 4 0 1 1 0 6 1 0 0 0 8 0 0 0 1 1 0 0 1 1 3 0 1 0 1 5 0 1 1 1 7 1 1 9 Q 0 Q 3 Q 2 Q 1 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1

数字逻辑电路具有哪些主要特点

数字逻辑电路具有哪些主要特点 数字逻辑电路具有哪些主要特点从整体上来看,数字逻辑电路可分为组合逻辑电路和时序逻辑电路两大类。在逻辑功能方面,组合逻辑电路在任一时刻的输出信号仅与当时的输入信号有关,与信号作用前电路原来所处的状态无关;而时序逻辑电路在任一时刻的输出信号不仅与当时的输入信号有关,而且还与电路原来的状态有关。在电路结构方面,组合逻辑电路仅由若干逻辑门组成,没有存储电路,也没有输出到输入的反馈回路,因而无记忆能力;而时序逻辑电路除包含组合电路外,还含有存储电路,因而具有记忆能力。 在时序逻辑电路中,存储电路常由触发器组成,根据这些触发器时钟接法的不同,时序分为同步时序逻辑电路和异步时序逻辑电路。在同步时序逻辑电路中,存储电路内所有触发器的时钟输入端都接同一个时钟脉冲源,因而,所有触发器的状态(即时序逻辑电路的状态)的变化都与所加时钟脉冲信号同步。在异步时序逻辑电路中,没有统一的时钟脉冲,某些触发器的时钟输入端与时钟脉冲源相连,这些触发器的状态变化与时钟脉冲同步,而其他触发器状态的变化并不与时钟脉冲同步。同步时序电路的速度高于异步时序电路,但电路结构一般较后者复杂;而异步时序电路的瞬时功耗要小于同步时序电路,但各触发器不同时翻转,容易引发事故。 数字电路研究和处理的对象是数字信号,而数字信号在时间上和数值上均是离散的,因而数字电路中的电子器件通常工作在饱和区和截止区,信号通常只有高电平和低电平两种状态。这两种状态可用二进制的1和0来表示,因而可以用二进制对数字信号进行编码。由于数字信号的高电平和低电平表示的都是一定的电压范围,所以我们可以着重考虑信号的有无,而不必过多关心信号的大小。数字电路主要研究电路单元系统的输入和输出状态之间的逻辑关系,即逻辑功能。 数字电路的以上特点,决定了数字电路具有速度快、精度高、抗干扰能力强和易于集成等优点,在当今的自动控制、测量仪表、数字通信和智能计算等领域,都得到了相当广泛的

电子技术习题解答.第8章.触发器和时序逻辑电路及其应用习题解答

第8章 触发器和时序逻辑电路及其应用习题解答 8.1 已知基本RS 触发器的两输入端D S 和D R 的波形如图8-33所示,试画出当基本RS 触发器初始状态分别为0和1两种情况下,输出端Q的波形图。 图8-33 习题8.1图 解:根据基本RS 触发器的真值表可得:初始状态为0和1两种情况下,Q的输出波形分别如下图所示: 习题8.1输出端Q的波形图 8.2 已知同步RS 触发器的初态为0,当S 、R 和CP 的波形如图8-34所示时,试画出输出端Q的波形图。 图8-34 题8.2图 解:根据同步RS 触发器的真值表可得:初始状态为0时,Q的输出波形分别如下图所示:

习题8.2输出端Q的波形图 8.3 已知主从JK触发器的输入端CP、J和K的波形如图8-35所示,试画出触发器初始状态分别为0时,输出端Q的波形图。 图8-35 习题8.3图 解:根据主从JK触发器的真值表可得:初始状态为0情况下,Q的输出波形分别如下图所示: 习题8.3输出端Q的波形图 8.4 已知各触发器和它的输入脉冲CP的波形如图8-36所示,当各触发器初始状态均为1时,试画出各触发器输出Q端和Q端的波形。

图8-36 习题8.4图 解:根据逻辑图及触发器的真值表或特性方程,且将驱动方程代入特性方程可得状态方程。即:(a )J =K =1;Qn + 1=n Q,上升沿触发 (b)J =K =1;Qn + 1=n Q, 下降沿触发 (c)K =0,J =1;Qn + 1=J n Q+K Qn =1,上升沿触发 (d)K =1,J =n Q;Qn + 1=J n Q+K Qn =n Qn Q+0·Qn =n Q,上升沿触发 (e)K =Qn ,J =n Q;Qn + 1=J n Q+K Qn =n Qn Q+0=n Q,上升沿触发 (f)K =Qn ,J =n Q;Qn + 1=J n Q+K Qn =n Qn Q+0=n Q,下降沿触发, 再根据边沿触发器的触发翻转时刻,可得当初始状态为1时,各个电路输出端Q的波形分别如图(a )、(b )、(c )、(d )、(e )和(f )所示,其中具有计数功能的是:(a )、(b )、(d )、(e )和(f )。各个电路输出端Q的波形与相应的输出端Q的波形相反。 习题8.4各个电路输出端Q的波形图

实验二 时序逻辑电路的设计

实验二 时序逻辑电路的设计 一、实验目的: 1、 掌握时序逻辑电路的分析方法。 2、 掌握VHDL 设计常用时序逻辑电路的方法。 3、 掌握时序逻辑电路的测试方法。 4、 掌握层次电路设计方法。 5、 理解时序逻辑电路的特点。 二、实验的硬件要求: 1、 EDA/SOPC 实验箱。 2、 计算机。 三、实验原理 1、时序逻辑电路的定义 数字逻辑电路可分为两类:组合逻辑电路和时序逻辑电路。组合逻辑电路中不包含记忆单元(触发器、锁存器等),主要由逻辑门电路构成,电路在任何时刻的输出只和当前时刻的输入有关,而与以前的输入无关。时序电路则是指包含了记忆单元的逻辑电路,其输出不仅跟当前电路的输入有关,还和输入信号作用前电路的状态有关。 2、同步时序逻辑电路的设计方法 同步时序逻辑电路的设计是分析的逆过程,其任务是根据实际逻辑问题的要求,设计出能实现给定逻辑功能的电路。同步时序电路的设计过程: (1)根据给定的逻辑功能建立原始状态图和原始状态表。 ①明确电路的输入条件和相应的输出要求,分别确定输入变量和输出变量的数目和符号; ②找出所有可能的状态和状态转换之间的关系; ③根据原始状态图建立原始状态表; (2)状态化简---求出最简状态图。 合并等价状态,消去多余状态的过程称为状态化简。 等价状态:在相同的输入下有相同的输出,并转换到同一个次态去的两个状态称为等价状态。 (3)状态编码(状态分配)。 给每个状态赋以二进制代码的过程。 根据状态数确定触发器的个数,n n M 221 -≤∠(M 为状态数;n 为触发器的个数)。 (4)选择触发器的类型。 (5)求出电路的激励方程和输出方程。 (6)画出逻辑图并检查自启动能力。 3、时序逻辑电路的特点及设计时的注意事项 ①时序逻辑电路与组合逻辑电路相比,输出会延时一个时钟周期。 ②时序逻辑电路一般容易消除“毛刺”。 ③用VHDL 描述时序逻辑电路时,一般只需将时钟信号和异步控制(如异步复位)信号作为敏感信号。

时序逻辑电路课后答案

第六章 时序逻辑电路 【题 】 分析图时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,说明电路能否自启动。 Y 图P6.3 【解】驱动方程: 11323131233 J =K =Q J =K =Q J =Q Q ;K =Q ?? ??? 输出方程:3Y Q = 将驱动方程带入JK 触发器的特性方程后得到 状态方程为: n+11313131n 1 2121221n+1 3321 Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q Q +?=+=?=+=⊕??=?e 电路能自启动。状态转换图如图 【题 】 分析图时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。A 为输入逻辑变量。 图A6.3

Y 图P6.5 【解】 驱动方程: 12 21212() D AQ D AQ Q A Q Q ?=??==+?? 输出方程: 21Y AQ Q = 将驱动方程带入JK 触发器的特性方程后得到状态方程为: n+1 12 n+1 212() Q AQ Q A Q Q ?=??=+?? 电路的状态转换图如图 1 图A6.5 【题 】 分析图时序电路的逻辑功能,画出电路的状态转换图,检查电路能否自启动,说明电路能否自启动。说明电路实现的功能。A 为输入变量。

A Y 图P6.6 【解】驱动方程: 11221 1 J K J K A Q ==?? ==⊕? 输出方程: 1212Y AQ Q AQ Q =+ 将驱动方程带入JK 触发器的特性方程后得到状态方程为: n+111 n+1 2 12 Q Q Q A Q Q ?=??=⊕⊕?? 电路状态转换图如图。A =0时作二进制加法计数,A =1时作二进制减法计数。 01图A6.6 【题 】 分析图时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,说明电路能否自启动。

时序逻辑电路实验报告

二、时序逻辑电路实验题目 1. 试用同步加法计数器74LS161(或74LS160)和二4输入与非门74LS20构成百以内任意进制计数器,并采用LED 数码管显示计数进制。采用555定时器构成多谐振荡电路,为同步加法计数器提供时钟输入信号。例如,采用同步加法计数器74LS 161构成60进制加法计数器的参考电路如图2所示。 1Q A Q B Q C Q D CP 74LS161P T R CO D C B A L D C r Q A Q B Q C Q D CP 74LS161P T R CO D C B A L D C r CP & 设计: (一)设计一个固定进制的加法计数器。 (1)利用555定时器设计一个可以生时钟脉冲的多谐振荡器,使其构成长生脉冲,对同步加法器74LS161输入信号,根据555定时器构成的多谐振荡器的周期可定,由图可的T=T 1+T 2=(R A +R B )C+ R B C=(R A +2R B )C ,通过改变电阻R A ,R B 和C 的大小,可以改变脉冲的周期。所发电阻为2个510k Ω,C=1uF ,则T=(R A +2R B )C= (2)利用十六进制的加法计数器74LS61组成百以内任意进制计数器,可以用清零法和置数法改变计数器的技术进制,由于译码显示器可以显示….9,所以一片74LS161只可以控制一个显示器,就要将一片74LS161改为十进制,最后再利用级联的74LS161改变数组进制,可以将不同进制的数值用显示姨妈其显示出来,下面以33进制为例进行设计, a.清零法,异步清零信号为 = 计图如下:

U1 LM555CM GND 1 DIS 7OUT 3RST 4VCC 8 THR 6CON 5 TRI 2VCC 5V R1510kΩR2510kΩC11uF C25nF VCC 2 13U2 74LS160D QA 14QB 13QC 12QD 11RCO 15 A 3 B 4 C 5D 6 ENP 7ENT 10~LOAD 9~CLR 1CLK 2 GND 8 VCC 16U3 74LS160D QA 14QB 13QC 12QD 11RCO 15 A 3 B 4 C 5D 6 ENP 7ENT 10~LOAD 9~CLR 1 CLK 2GND 8VCC 1600U4 DCD_HEX_DIG_ORANGE U5 DCD_HEX_DIG_ORANGE VCC 5V VCC 5V VCC 600 U8B 74S00D 5 U6B 74S00D 10U7A 74S20D 141113 12 874VCC 5V 15 VCC VCC 9 上图中两个一码显示,左边是低位显示,右边为高位显示。 (3)状态转换图为: B,置数法,为了使显示数字范围在0~9,才能使显示译码器显示0~9,则是置数Q A1Q B1Q C1Q D1=0000,,在第一个74LS161与第二个之间对进位信号进行改造,将进位信号改为RCO=Q A Q D = ,用两个与非门实现该功能。则当=1, =0,且时钟信号来临时,计 数器置数Q A1Q B1Q C1Q D1=0000,置数信号LD= 则设计电路为: U1 LM555CM GND 1 DIS 7OUT 3 RST 4VCC 8 THR 6CON 5 TRI 2VCC 5V R1510kΩR2510kΩC1100nF C25nF VCC 2 13U2 74LS160D QA 14QB 13QC 12QD 11RCO 15 A 3 B 4 C 5D 6 ENP 7ENT 10~LOAD 9~CLR 1 CLK 2 GND 8 VCC 16U3 74LS160D QA 14QB 13QC 12QD 11RCO 15 A 3 B 4 C 5D 6 ENP 7ENT 10~LOAD 9~CLR 1 CLK 2GND 8VCC 1600DCD_HEX_DIG_ORANGE U5 DCD_HEX_DIG_ORANGE VCC 5V VCC 5V VCC 600 U8B 74S00D 5 U6B 74S00D U7A 74S20D 141113 12874VCC 5V 9 VCC 10VCC 15

时序逻辑电路的分析

A、与当时的输入信号有关,与电路的原状态无关。 B、与当时的输入信号有关,与电路的原状态有关。 C、与当时的输入信号无关,与电路的 原状态有关。 D、 2、时序逻辑电路中必须含有: A、存储电路 B、编码器 C、加法器 D、 3、同步时序逻辑电路所有触发器的时钟输入端都接同一个时钟脉冲。 A、各触发器不同时具备触发翻转的条 件 B、各触发器同时具备触发翻转的条件 C、各触发器的触发翻转有先有后 D、 4、异步时序逻辑电路各触发器的时钟输入所接不是同一的时钟脉冲。 A、结构简单,速度快 B、结构复杂,速度慢 C、结构简单,速度慢 D、 5、异步时序逻辑电路,各触发器: A、触发翻转有先有后 B、同时触发翻转 C、无法确定 D、 第二题、多项选择题(每题2分,5道题共10分) 1、时序逻辑电路从结构上讲,包含有: A、存储元件 B、触发器或含有反馈延迟电路 C、译码器 2、时序逻辑电路的输出信号: A、与当时的输入信号无关 B、与当时的输入信号有关 C、与电路的原状态有关

D、与电路的原状态无关 3、同步时序逻辑电路: A、所有触发器的时钟输入端都接同一个时钟脉冲 B、各触发器同时具备触发翻转的条件 C、速度快;结构简单 D、速度快;结构复杂 4、时序逻辑电路的逻辑功能可用()来描述。 A、状态方程 B、状态表 C、状态图 D、时序图 5、时序逻辑电路的分析是指已知逻辑图: A、列写逻辑方程式 B、计算状态表 C、画电路的状态图 D、画电路的时序图 E、判定电路的功能 第三题、判断题(每题1分,5道题共5分) 1、时序逻辑电路中必须含有存储电路。 正确错误 2、时序逻辑电路中的存储电路只能用延迟元件组成,不能用触发器构成。 正确错误 3、同步时序逻辑电路各触发器同时具备触发翻转的条件。 正确错误 4、异步时序逻辑电路结构简单,速度慢。

第五章 常用时序逻辑电路

第五章常用时序集成电路模块及其应用 用常用时序中规模集成模块设计数字电路仍是目前组成数字系统的主要设计方法,熟悉和掌握时序中规模集成模块的基本工作原理及其应用也是数字电子技术课程的主要任务。本章要求学生认识时序模块的国标符号、逻辑符号和时序电路模块的功能表,进而掌握用时序模块和其他电路组成的应用电路。 第一节基本知识、重点与难点 一、基本知识 (一)常用时序模块 在实际中有许多MSI产品可供选用,掌握了这些产品的逻辑功能、性能指标和使用方法,就可以方便地利用它们构成具有各种功能的数字电路,而无需采用单元触发器和门电路进行设计。 (二)计数器及其应用 计数器是用来计算输入脉冲数目的时序逻辑电路,是数字系统中应用最广泛的基本单元之一。它是用电路的不同状态来表示输入脉冲的个数。计数器所能计算脉冲数目的最大值(即电路所能表示状态数目的最大值)称为计数器的模(M)。 按进位方式,计数器可分为同步和异步两类。同步计数器的所有触发器共用一个时钟脉冲,时钟脉冲就是计数的输入脉冲。异步计数器只有部分触发器的时钟信号是计数脉冲,而另一部分触发器的时钟信号是其他触发器或组合电路的输出信号,因而各级触发器的状态更新不是同时发生的。 按进位制方式,计数器可分为二进制和非二进制(包括十进制)。 按逻辑功能方式,计数器可分为加法计数器、减法计数器和可逆计数器等。加法计数器的状态变化和数的依次累加相对应。减法计数器的状态变化和数的依次递减相对应。可逆计数器由控制信号控制实现累加或递减,可实现加法或减法计数。 若计数脉冲为一周期性信号,则模为M的计数器输出信号的频率为计数脉冲频率的1/M,也就是说,计数器具有分频的功能,可作为数字分频器使用。 工程中经常用到的序列信号发生器,也可由计数器设计而成。 (三)寄存器及其应用 寄存器与移位寄存器均是数字系统中常见的逻辑模块。寄存器用来存放二进制数码,移位寄存器除具有寄存器的功能外,还可将数码移位。 1.寄存器 寄存器用来存放二进制数码。事实上每个触发器就是一位寄存器。74175是由四个具有公共清零度端的上升沿D型触发器构成的中规模集成电路。 2.移位寄存器 移位寄存器具有移位功能,即除了可以存放数据以外,还可将所存数据向左或向右移位。 移位寄存器有单向移位和双向移位之分,还常带有并行输入端。74195是带有并行存取功能的四位单向移位寄存器。74194是可并行存取的四位双向移位寄存器,是一种功能比较齐全的移位寄存器,它具有左移、右移、并行输入数据、保持以及清除等五种功能。 利用移位寄存器可以很方便地将串行数据变换为并行数据,也可以将并行数据变换为串行数据。计算机中外部设备与主机之间的信息交换常常需要这种变换。

相关文档
相关文档 最新文档