文档库 最新最全的文档下载
当前位置:文档库 › D触发器的使用

D触发器的使用

D触发器的使用
D触发器的使用

实验3 D触发器及其应用

一、实验目的

1、熟悉D触发器的逻辑功能;

2、掌握用D触发器构成分频器的方法;

3、掌握简单时序逻辑电路的设计方法。

二、实验设备

1、数字电路实验箱;

2、数字双踪示波器;

3、函数信号发生器;

4、集成电路:74LS00;

5、集成电路:74LS74;

三、实验内容

1、用74LS74 (1片)构成二分频器、四分频器,并用示波器观察波形;

简单介绍分析:

(1) 74LS74:双D触发器(上升沿触发的边沿D触发器)

D触发器在时钟脉冲CP的前沿(正跳变0宀1)发生翻转,触发器的次态取决于CP脉冲上升沿到来之前D端的状态,即'=D O因此,它具有置0、置1两种功能。由于在CP=1期间电路具有维持阻塞作用,所以在

CP=1期间,D端的数据状态变化,不会影响触发器的输出状态。/R D和/S D 分别是决定触发器初始状态的置0、置1端。当不需要强迫置0、置1时,/R D和/S D端都应置高电平。74LS74

(CC4013 ,74LS175 (CC4042等均为上升沿触发的边沿触发器。

(2) 74LS74引脚图:

(图 3-1 )

(3) 二分频器的连接线路原理图:

图(3-2)

实验步骤如下:

a. 按照上面的连线原理图(3-2)在实验板上连好线;

b. 打开电源开关;

c. 在CP 端加入1kHz 的连续方波,用示波器观察 CP 1Q 2Q 各 点的

波形。

(4) 四分频器的连接线路原理图:

U1A

ID

-1FP

-1CLR

T"

Output

"0

图(3-3)

实验步骤如下:

a. 按照上面的连线原理图(3-3)在实验板上连好线;

b. 打开电源开关;

c. 在CP 端加入1kHz 的连续方波,用示波器观察 CP 1Q 2Q 各 点的

波形。

2、实现如图所示时序脉冲 (74LS74和74LS00各1片)

CP

图(3-4)

简单介绍分析:

(1)逻辑分配:

Q ;

Q n

Vo

Q n

V

Q n

V

I

F

0 0 0 1 0 0 1 1 1 0 1

1

1

74L574O

1*

U1B

____

5

ii 1

> i€LK TQ

CP *

* Ouipul

(2)特征方程:

Q 岁=Q Q= D1

二/Q:= DQ

F = Q;* /<2o

\F = F YP = Q;*/Q; YP

(3)实现上述时序脉冲的线路连接图如下:

用?4L£00实理三个与,惟为炀出F

(图3-5)

实验步骤如下:

a. 按照上面的连线原理图(3-5)在实验板上连好线;

b. 打开电源开关;

c. 在CP端加入1kHz的连续方波,用示波器观察输入和输出波形并记录。

四、实验结果

1、用74LS74( 1片)构成二分频器实验连接线路:

(图3-6)

2、示波器波形显示:

(图3-7)

3、用74LS74 (1片)构成四分频器实验连接线路:

(图3-8)

4、示波器波形显示:

图3-9 )

5、用74LS74和74LS00实现时序脉冲的实验连接线路:

(图3-10 )

6、示波器波形显示:

(图3-11 )

五、故障排除

在构成二分频和四分频电路的时候,示波器显示的波形带有较多的毛刺,不知道这个应该怎样去除,才能使得图形更近符合理论。

还有就是在实现时序脉冲的时候,刚开始想了很多办法都无法实现三个逻辑变量的与,因为只给了一个74LS00作为辅助器件,后来经过讨论发现实际上可以借助74LS00 (二输入端四与非门)来实现三个逻辑变量的与,就是相对有点麻烦,不过也是顺带复习了前面的实验内容,最后经过检查电路连接,得到了正确的实验结果和波形。

六、心得体会

这次实验比较难以理解,主要是因为理论课上老师还没有讲授到这部分内容,所以开始的时候我们听得不是很明白,也就很难下手去做这个实验。后来经过老师的讲解和图示的理解,我慢慢开始有点懂了。做数电实验逻辑上要十分清晰,这样在连线上才不会很乱。

我们在老师的电路图指导下,经过合作完成了这次实验。每次的设计性的实验对于我们来说都是相对难度比较大的,有时候我们就懒得动脑思考,很浮躁的不愿意动笔去画一画逻辑电路图和真值表,经过这几次实验,我发现真值表对于实验的完成还是很有帮助的,我们只有在脑子中把要设计的东西先构思好,然后才能付诸实践,在实验中进一步发现问题并尝试着自己去探究解决问题,我想这样我们做实验才有意义,才能真正的提高我们的动手能力和动脑思考、创新思维。

(学习的目的是增长知识,提高能力,相信一分耕耘一分收获,努力就一定可以获得应有的回报)

使用D触发器设计一个11001序列检测器介绍

讨论使用D触发器设计一个11001序列检测器,讨论序列可交迭(Overlap)检测和不可交迭检测在设计上的区别,讨论分别采用Mealy机设计和采用Moore机设计的区别,讨论未用状态的处理问题。 【要求】给出电路原理图或HDL代码,要求进行仿真,并给出仿真结果。 1.原件介绍 D触发器(74LS74)、“与”门(74LS08)、“或”门(74LS32)、“非”门(74LS04),集成电路引脚

2.设计思路 根据要求,设计的序列检测器有一个外部输入x和一个外部输出Z。输入和输出的逻辑关系为:当外部输入x第一个为"1",外部输出Z为"0";当外部输入x 第二个为"1",外部输出Z为"0";当外部输入第三个x为"0",外部输出Z为"0",当外部输入第四个x为“0”,外部输出Z为0,当外部输入第五个x为“1”,外部输出Z为“1”。假定有一个外部输入x序列以及外部输出Z为: 输入X 0 1 1 1 0 0 1 0 1 输出Y 0 0 0 0 0 0 1 0 0 要判别序列检测器是否连续接收了"11001",电路必须用不同的状态记载外部输入x的值。假设电路的初始状态为A,x 输入第一个"1",检测器状态由A装换到B,用状态B记载检测器接受了"11001"序列的第一个"1",这时外部输出Z=0;x输入第二个"1",检测器状态由B装换到C,用状态C 记载检测器接了“11001”序列的第二个"1",外部输出Z=0;x输入第三个"0",检测器状态由C装换到D,外部输出Z=0;x输入第四个为“0”,检测器状态由D装换到E,外部输出Z=0;x输入第五个为“1”,检测器状态由E装换到F,外部输出Z=1。然后再根据外部输入及其他情况时的状态转移,写出相应的输出。以上分析了序列检测器工作,由此可画出原始状态图。根据原始状态图可列出原始状态表。 状态转换表 A B D C E F 1\0 1\0 0\0 0\0 1\1 0\0 0\0 1\0 1\0 0\0 0\0

利用D触发器构成计数器

利用D触发器构成计数 器 TYYGROUP system office room 【TYYUA16H-TYY-TYYYUA8Q8-

数字电路实验设计: D触发器组成的4位异步二进制加法计数器一、选用芯片74LS74,管脚图如下: 说明:74LS74是上升沿触发的双D触发器, D触发器的特性方程为 二、设计方案: 用触发器组成计数器。触发器具有0 和1两种状态,因此用一个触发器就可以表示一位二进制数。如果把n个触发器串起来,就可以表示n位二进制数。对于十进制计数器,它的10 个数码要求有 10 个状态,要用4位二进制数来构成。下图是由D触发器组成的4位异步二进制加法计数器。 三、实验台: 四、布线: 1、将芯片(1)的引脚4、10连到一起, 2、将芯片(2)的引脚4、10连到一起, 3、将芯片(1)的引脚10和芯片(2)的引脚10连到一起, 4、将芯片(1)的引脚10连到+5V; 5、将芯片(1)的引脚1、13连到一起, 6、将芯片(2)的引脚1、13连到一起, 7、将芯片(1)的引脚13和芯片(2)的引脚13连到一起, 8、将芯片(1)的引脚13连到+5V; 9、将芯片(1)的引脚3接到时钟信号CP 10、将芯片(1)的引脚2、6接到一起,再将引脚2接到引脚11 11、将芯片(1)的引脚8、12接到一起,再将芯片(1)的引脚8接到芯片(2)的引脚3 12、将芯片(2)的引脚2、6接到一起,再将引脚6接到引脚11 13、将芯片(1)的引脚5、9分别接到Q 0、Q 1 ,再将芯片(2)的引脚5、9分 别接到Q 2、Q 3 14、分别将两芯片的14脚接电源+5V,分别将两芯片的7脚接地0V。

D触发器的设计

目录 第一章绪论0 简介0 集成电路0 版图设计1 软件介绍1 标准单元版图设计1 标准单元版图设计的概念1 标准单元版图设计的历史1 标准单元的版图设计的优点2 标准单元的版图设计的特点2 第二章D触发器的介绍 2 简介2 维持阻塞式边沿D触发器3 电路工作过程3 状态转换图和时序图3 同步D触发器3 电路结构3 逻辑功能4 真单相时钟(TSPC)动态D触发器4 第三章工艺基于TSPC原理的D触发器设计5 电路图的设计5 创建库与视图5 基于TSPC原理的D触发器电路原理图5 创建D触发器版图6 设计步骤6 器件规格7 设计规则的验证及结果8 第四章课程设计总结9 参考文献 9 第一章绪论 简介 集成电路 集成电路(Integrated Circuit,简称IC)是20世纪60年代初期发展起来的一种新型半导体器件。它是经过氧化、光刻、扩散、外延、蒸铝等半导体制造工艺,把构成具有一定功能的电路所需的半导体、电阻、电容等元件及它们之间的连接导线全部集成在一小块硅片上,然后焊接封装在一个管壳内的电子器件。其封装外壳有圆壳式、扁平式或双列直插式等多种形式。是一种微型电子器件或部件,采

用一定的工艺,把一个电路中所需的晶体管、二极管、电阻、电容和电感等元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一个管壳内,成为具有所需电路功能的微型结构;其中所有元件在结构上已组成一个整体,使电子元件向着微小型化、低功耗和高可靠性方面迈进了一大步。集成电路发明者为杰克·基尔比(基于硅的集成电路)和罗伯特·诺伊思(基于锗的集成电路)。当今半导体工业大多数应用的是基于硅的集成电路。 版图设计 版图(Layout)是集成电路设计者将设计并模拟优化后的电路转化成的一系列几何图形,包含了集成电路尺寸大小、各层拓扑定义等有关器件的所有物理信息。集成电路制造厂家根据版图来制造掩膜。版图的设计有特定的规则,这些规则是集成电路制造厂家根据自己的工艺特点而制定的。不同的工艺,有不同的设计规则。设计者只有得到了厂家提供的规则以后,才能开始设计。版图在设计的过程中要进行定期的检查,避免错误的积累而导致难以修改。很多集成电路的设计软件都有设计版图的功能,Cadence 的Virtuoso的版图设计软件帮助设计者在图形方式下绘制版图。 对于复杂的版图设计,一般把版图设计分成若干个子步骤进行: (1)划分为了将处理问题的规模缩小,通常把整个电路划分成若干个模块。(2)版图规划和布局是为了每个模块和整个芯片选择一个好的布图方案。(3)布线完成模块间的互连,并进一步优化布线结果。 (4)压缩是布线完成后的优化处理过程,他试图进一步减小芯片的面积。软件介绍 目前大部分IC 公司采用的是UNIX 系统,使用版本是SunSolaris。版图设计软件通常为Cadence ,它是一个大型的EDA 软件,它几乎可以完成电子设计的方方面面,包括ASIC 设计、FPGA设计和PCB 设计。软件操作界面人性化,使用方便,安全可靠,但价格较昂贵。 标准单元版图设计 标准单元版图设计的概念 标准单元,也叫宏单元。它先将电路设计中可能会遇到的所有基本逻辑单元的版图, 按照最佳设计的一定的外形尺寸要求, 精心绘制好并存入单元库中。实际设计ASIC电路时, 只需从单元库中调出所要的元件版图, 再按照一定的拼接规则拼接, 留出规则而宽度可调的布线通道, 即可顺利地完成整个版图的设计工作了。 基本逻辑单元的逻辑功能不同, 其版图面积也不可能是一样大小的。但这些单元版图的设计必须满足一个约束条件, 这就是在某一个方向上它们的尺寸必须是完全一致的, 比如说它们可以宽窄不一, 但它们的高度却必须是完全相等的,这就是所谓的“等高不等宽”原则。这一原则是标准单元设计法得以实施的根本保证。 标准单元版图设计的历史 随着集成电路产业迅猛的发展,工艺水平不断提高,集成电路特征尺寸循着摩尔定律不断缩小。设计芯片时需要考虑的因素越来越多,芯片设计的复杂程度也越来越高。因而尽可能复用一些已经通过工艺验证的IP核可以提高设计的效率,降低芯片设计的成本。

D触发器工作原理

D触发器工作原理 D触发器工作原理 主从JK触发器是在CP脉冲高电平期间接收信号,如果在CP高电平期间输入端出现干扰信号,那么就有可能使触发器产生与逻辑功能表不符合的错误状态。边沿触发器的电路结构可使触发器在CP脉冲有效触发沿到来前一瞬间接收信号,在有效触发沿到来后产生状态转换,这种电路结构的触发器大大提高了抗干扰能力和电路工作的可靠性。下面以维持阻塞D触发器为例介绍边沿触发器的工作原理。 维持阻塞式边沿D触发器的逻辑图和逻辑符号如图9-7所示。该触发器由六个与非门组成,其中G1、G2构成基本RS触发器,G3、G4组成时钟控制电路,G5、G6组成数据输入电 路。和分别是直接置0和直接置1端,有效电平为低电平。分析工作原理时,设和 均为高电平,不影响电路的工作。电路工作过程如下。 (a) 逻辑图 (b) 逻辑符号 图9-7 维持阻塞型D触发器 ①CP=0时,与非门G3和G4封锁,其输出为1,触发器的状态不变。同时,由于至G5和至G6的反馈信号将这两个门G5、G6打开,因此可接收输入信号,使=,= =。 ②当CP由0变1时,门G3和G4打开,它们的输出和的状态由G5和G6的输出状态决定。==,==。由基本RS触发器的逻辑功能可知,=。

③触发器翻转后,在CP=1时输入信号被封锁。G3和G4打开后,它们的输出和的状态是互补的,即必定有一个是0,若为0,则经G4输出至G6输入的反馈线将G6封锁,即封锁了D通往基本RS触发器的路径;该反馈线起到了使触发器维持在0状态和阻止触发器变为1状态的作用,故该反馈线称为置0维持线,置1阻塞线。G3为0时,将G4和G5封锁,D端通往基本RS触发器的路径也被封锁;G3输出端至G5反馈线起到使触发器维持在1状态的作用,称作置1维持线;G3输出端至G4输入的反馈线起到阻止触发器置0的作用,称为置0阻塞线。因此,该触发器称为维持阻塞触发器。 由上述分析可知,维持阻塞D触发器在CP脉冲的上升沿产生状态变化,触发器的次态取决于CP脉冲上升沿前D端的信号,而在上升沿后,输入D端的信号变化对触发器的输出状态没有影响。如在CP脉冲的上升沿到来前=0,则在CP脉冲的上升沿到来后,触发器置0;如在CP脉冲的上升沿到来前=1,则在CP脉冲的上升沿到来后触发器置1。维持阻塞触发器的逻辑功能表如表9-4所示。 表9-4 触发器的逻辑功能表 说明 0 0 复位 1 1 置位 依据逻辑功能表可得触发器的状态方程为 (9-2) 【例9-4】已知上升沿触发的D触发器输入和时钟CP的波形如图9-8所示,试画出端波形。设触发器初态为0。 图9-8 维持阻塞触发器的波形图

D触发器设计

要求:采用0.25um CMOS工艺(SPICE模型,BSIM3.1)设计一个基于传输门结构的D触发器,要求该D触发器带有异步高电平置位。 1)用HSPICE仿真验证该D触发器功能的正确性。 2)利用HSPICE仿真得出该D触发器的建立时间、保持时间和传输延时的值,假设D触发器的负载是该D触发器的数据输入端。 3)请优化该D触发器的晶体管尺寸,使建立时间最小。 4)优化尺寸使传输延时最小。 仿真过程中,输入信号和clock的跳变沿(上升沿时间和下降沿时间)统一为0.2ns。如下面的clock的例子: vclk clock 0 pulse (0 2.5 0 0.2n 0.2n 4.8n 10n) 建立时间定义含混,难以精确确定。一般减小寄存器数据到clock的时间不会使输出立刻出错,但它会使输出延时增大,所以一般建立时间的定义有两种方法: (b) 1、定义成时钟之前数据输入必须有效的时间。(但有效的含义是什么,难以精确确定) 2、定义成使D-Clk时间差与tc-q延时的和最小时寄存器的工作点。这一点使触发器的延时总开销最小。即图(b)中斜率45度的点。X轴和Y轴等比例! 在全定制设计中这个值可以比较接近出错点,但在半定制标准单元设计中,寄存器的建立时间和保持时间定义成相对tcq增大一个固定百分比时(一般为5%),数据-时钟的时间差。注意,这些曲线在0-1和1-0翻转时不同,因此0和1值得建立时间和保持时间是不一样的,另外建立时间和保持时间还和时钟与数据的斜率有关,在非线性模型中用一个二维表格表示。 在本练习中,采用第二种建立时间定义方法。假定都采用使输出延时比原来增大5%的时间点作为建立时间。分别测量输出“1”和输出“0”的不同的建立时间。保持设计的定义与此相似,,同样用输出延时增加5%作为定标点。

D触发器的设计

目录 第一章绪论 (1) 1.1 简介 (1) 1.1.1 集成电路 (1) 1.1.2 版图设计 (1) 1.2 软件介绍 (2) 1.3 标准单元版图设计 (2) 1.3.1 标准单元版图设计的概念 (2) 1.3.2 标准单元版图设计的历史 (2) 1.3.3 标准单元的版图设计的优点 (3) 1.3.4 标准单元的版图设计的特点 (3) 第二章 D触发器的介绍 (4) 2.1 简介 (4) 2.2 维持阻塞式边沿D触发器 (4) 2.2.1 电路工作过程 (4) 2.2.2 状态转换图和时序图 (5) 2.3 同步D触发器 (5) 2.3.1 电路结构 (5) 2.3.2 逻辑功能 (6) 2.4 真单相时钟(TSPC)动态D触发器 (6) 第三章 0.35um工艺基于TSPC原理的D触发器设计 (8) 3.1 电路图的设计 (8) 3.1.1 创建库与视图 (8) 3.1.2 基于TSPC原理的D触发器电路原理图 (8) 3.2 创建 D触发器版图 (9) 3.2.1 设计步骤 (9) 3.2.2 器件规格 (11) 3.3 设计规则的验证及结果 (11) 第四章课程设计总结 (13) 参考文献 (14)

第一章绪论 1.1 简介 1.1.1 集成电路 集成电路(Integrated Circuit,简称IC)是20世纪60年代初期发展起来的一种新型半导体器件。它是经过氧化、光刻、扩散、外延、蒸铝等半导体制造工艺,把构成具有一定功能的电路所需的半导体、电阻、电容等元件及它们之间的连接导线全部集成在一小块硅片上,然后焊接封装在一个管壳内的电子器件。其封装外壳有圆壳式、扁平式或双列直插式等多种形式。是一种微型电子器件或部件,采用一定的工艺,把一个电路中所需的晶体管、二极管、电阻、电容和电感等元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一个管壳内,成为具有所需电路功能的微型结构;其中所有元件在结构上已组成一个整体,使电子元件向着微小型化、低功耗和高可靠性方面迈进了一大步。集成电路发明者为杰克·基尔比(基于硅的集成电路)和罗伯特·诺伊思(基于锗的集成电路)。当今半导体工业大多数应用的是基于硅的集成电路。 1.1.2 版图设计 版图(Layout)是集成电路设计者将设计并模拟优化后的电路转化成的一系列几何图形,包含了集成电路尺寸大小、各层拓扑定义等有关器件的所有物理信息。集成电路制造厂家根据版图来制造掩膜。版图的设计有特定的规则,这些规则是集成电路制造厂家根据自己的工艺特点而制定的。不同的工艺,有不同的设计规则。设计者只有得到了厂家提供的规则以后,才能开始设计。版图在设计的过程中要进行定期的检查,避免错误的积累而导致难以修改。很多集成电路的设计软件都有设计版图的功能,Cadence 的Virtuoso的版图设计软件帮助设计者在图形方式下绘制版图。 对于复杂的版图设计,一般把版图设计分成若干个子步骤进行: (1)划分为了将处理问题的规模缩小,通常把整个电路划分成若干个模块。(2)版图规划和布局是为了每个模块和整个芯片选择一个好的布图方案。(3)布线完成模块间的互连,并进一步优化布线结果。 (4)压缩是布线完成后的优化处理过程,他试图进一步减小芯片的面积。

ADS设计D触发器

Sheet 1 of 7
D-Type flip-flop (Toggle switch) The D-type flip-flops are used in prescalar/divider circuits and frequency phase detectors. Figure 1 shows how the flip-flop (latch) can be made using 2-input logic circuits and Figure 2 shows the input and output waveforms The enable pin needs to be high for data to be fed to the outputs Q and Q bar. The output will only change on the falling edge or trailing edge of the applied clk input.
D
NAND
NAND
Q
Enable
NAND
Q
NAND
NOT
Latch
Figure 1 Simple D-type Flip-flop circuit The D type flip-flop has only one input (D for Data) apart from the clock. The INDETERMINATE state is avoided with this flip-flop. When the clock goes high, D (a 0 or a 1) is transferred to Q. When the clock goes low, Q remains unchanged. Q stores the data until the clock goes high again, when new data may be available.
Figure 2 Output waveforms of the D-type flip-flop. In this circuit the Q output changes state on the leading edge of the clock.

D触发器的使用

实验3 D触发器及其应用 一、实验目的 1、熟悉D触发器的逻辑功能; 2、掌握用D触发器构成分频器的方法; 3、掌握简单时序逻辑电路的设计方法。 二、实验设备 1、数字电路实验箱; 2、数字双踪示波器; 3、函数信号发生器; 4、集成电路:74LS00; 5、集成电路:74LS74; 三、实验内容 1、用74LS74 (1片)构成二分频器、四分频器,并用示波器观察波形; 简单介绍分析: (1) 74LS74:双D触发器(上升沿触发的边沿D触发器) D触发器在时钟脉冲CP的前沿(正跳变0宀1)发生翻转,触发器的次态取决于CP脉冲上升沿到来之前D端的状态,即'=D O因此,它具有置0、置1两种功能。由于在CP=1期间电路具有维持阻塞作用,所以在 CP=1期间,D端的数据状态变化,不会影响触发器的输出状态。/R D和/S D 分别是决定触发器初始状态的置0、置1端。当不需要强迫置0、置1时,/R D和/S D端都应置高电平。74LS74 (CC4013 ,74LS175 (CC4042等均为上升沿触发的边沿触发器。

(2) 74LS74引脚图:

(图 3-1 ) (3) 二分频器的连接线路原理图: 图(3-2) 实验步骤如下: a. 按照上面的连线原理图(3-2)在实验板上连好线; b. 打开电源开关; c. 在CP 端加入1kHz 的连续方波,用示波器观察 CP 1Q 2Q 各 点的 波形。 (4) 四分频器的连接线路原理图: U1A ID -1FP -1CLR T" Output "0

图(3-3) 实验步骤如下: a. 按照上面的连线原理图(3-3)在实验板上连好线; b. 打开电源开关; c. 在CP 端加入1kHz 的连续方波,用示波器观察 CP 1Q 2Q 各 点的 波形。 2、实现如图所示时序脉冲 (74LS74和74LS00各1片) CP 图(3-4) 简单介绍分析: (1)逻辑分配: Q ; Q n Vo Q n V Q n V I F 0 0 0 1 0 0 1 1 1 0 1 1 1 74L574O 1* U1B ____ 5 ii 1 > i€LK TQ CP * * Ouipul

D触发器的设计和仿真讲解

实验一、D触发器的设计和仿真 一、实验目的 1、学习模拟数字电路单元的基本设计方法。 2、学习Cadence工具下电路设计的基本操作和方法。 3、学习Sprectre工具的仿真操作方法。 二、实验内容 本实验通过设计一个异步清零的D触发器电路学习Cadence工具下电路的设计和仿真方法。实验内容包括:完成反相器、与非门、传输门电路的设计和仿真验证;完成各个单元电路symbol的建立;利用建立的单元电路symbol完成D 触发器电路的设计和仿真;分析仿真结果。该电路设计采用上华CSMC0.5umCMOS 工艺设计,工作电压5V。 三、实验步骤 1、登陆到UNIX系统。 在登陆界面,输入用户名和密码,用户名和密码都为学生学号。 2、Cadence的启动。 启动Cadence软件的命令有很多,不同的启动命令可以启动不同的工具集,常用的启动命令有icfb,icca等,也可以单独启动单个工具。 3、原理图的输入。 (1)Composer的启动。在CIW窗口新建一个单元的Schematic视图。 (2)添加器件。在comparator schematic窗口点击Add-Instance或者直接点i,就可以选择所需的器件。 (3)添加连线。执行Add-Wire,将需要连接的部分用线连接起来。 (4)添加管脚。执行Add-Pin和直接点p,弹出添加管脚界面。 (5)添加线名。为设计中某些连线添加有意义的名称有助于在波形显示窗口中显出该条线的信号名称,也可以帮助检查电路错误。点击Add-Wire Name,弹出新窗口,为输入输出线添加名称。为四端的MOS器件的衬底添加名称vdd!或gnd!,其中!表示全局变量。

实验二D触发器的设计和仿真

实验报告 哈尔滨工程大学教务处制

实验二D触发器的设计和仿真 一、实验目的 1、学习模拟数字电路单元的基本设计方法。 2、学习Cadence工具下电路设计的基本操作和方法。 3、学习Sprectre工具的仿真操作方法。 二、实验内容 本实验通过设计一个异步清零的D触发器电路学习Cadence工具下电路的设计和仿真方法。实验内容包括:完成反相器、与非门、传输门电路的设计和仿真验证;完成各个单元电路symbol的建立;利用建立的单元电路symbol完成D触发器电路的设计和仿真;分析仿真结果。该电路设计采用上华CSMC0.5umCMOS工艺设计,工作电压5V。 三、实验步骤 1、登陆到UNIX系统。 在登陆界面,输入用户名和密码,用户名和密码都为学生学号。 2、Cadence的启动。 启动Cadence软件的命令有很多,不同的启动命令可以启动不同的工具集,常用的启动命令有icfb,icca等,也可以单独启动单个工具。

3、原理图的输入。 (1)Composer的启动。在CIW窗口新建一个单元的Schematic 视图。 (2)添加器件。在comparator schematic窗口点击Add-Instance 或者直接点i,就可以选择所需的器件。 (3)添加连线。执行Add-Wire,将需要连接的部分用线连接起来。 (4)添加管脚。执行Add-Pin和直接点p,弹出添加管脚界面。 (5)添加线名。为设计中某些连线添加有意义的名称有助于在波形显示窗口中显出该条线的信号名称,也可以帮助检查电路错误。点击Add-Wire Name,弹出新窗口,为输入输出线添加名称。为四端的MOS器件的衬底添加名称vdd!或gnd!,其中!表示全局变量。 (6)添加电源信号。选择Vdd和Gnd的symbol各一个,在两个symbol之间连接一个vdc,设置直流电压5V。 (6)保存并检查。点击schematic窗口上的Check and Save按钮,察看是否有警告或者错误。如果有,察看CIW窗口的提示。 4、电路仿真。 (1)启动模拟仿真环境。在comparator schematic窗口,选择Tools-Analog Environment,弹出模拟仿真环境界面。 (2)选择仿真器。在仿真窗口,选择Setup-Simulator/Directory/Host,设置仿真器为spectre,然后点击OK。 (3)设置模型库。

ALTERA FPGA设计之带复位的D触发器_基本实验

ALTERA FPGA设计之带复位的D触发器_基本实验Quartus II 13.0 SP1 + Modelsim SE-64 10.2c + Cyclone 【姓名学号】 实验目的: 1、熟悉VHDL触发器的设计; 2、了解FPGA基本结构中的触发器。 3、熟悉VHDL test bench(测试平台/测试激励)的设计; 4、熟练掌握Altera FPGA的开发环境、设计步骤和流程。 实验形式:边做实验边写实验报告,实验完成后即提交实验报告。 【描述实验中的设计】 用IF 语句设计D 触发器,实现带复位功能的D触发器。 【实验中设计实现的HDL代码】 library ieee; use ieee.std_logic_1164.all; entity exp1 is port(R:in std_logic; clk:in std_logic; D:in std_logic; Q:out std_logic; Q0:out std_logic); end exp1; architecture arch_exp1 of exp1 is signal a:std_logic; begin process(clk,R) begin if(clk'event and clk='1')then if(R='0')then a<='0'; else a<=D; end if; end if; end process; Q<=a;

Q0<=not a; end arch_exp1; 【实验中用于仿真的HDL test bench代码】library ieee; use ieee.std_logic_1164.all; entity exp1_tb is end exp1_tb; architecture arch_Etb of exp1_tb is component exp1 is port(R:in std_logic; clk:in std_logic; D:in std_logic; Q:out std_logic; Q0:out std_logic); end component; signal R,clk,D,Q,Q0:std_logic; begin u_tb:exp1 port map(R=>R,clk=>clk,D=>D,Q=>Q,Q0=>Q0); process begin R <='0'; D <='0'; wait for 10 ns; R <='0'; D <='1'; wait for 10 ns; R <='1'; D <='0'; wait for 10 ns; R <='1'; D <='1'; wait for 10 ns; R <='1'; D <='0'; wait; end process; clk_stimulus:process begin clk <='0'; wait for 4 ns;

EDA-D触发器的设计

南京工程学院 通信工程学院 实验报告 课程名称 TCP/IP 实验项目名称多进程并发服务器编程 实验学生班级媒通111 实验学生姓名陆春萍 实验学生学号 208110509 实验时间 2013.10.25 实验地点信息楼C216 实验成绩评定 指导教师签名 年月日

一、实验目的 学习在maxplusⅡ下用VHDL语言设计简单时序电路与功能仿真的方法。 二、验仪器设备 1、PC机一台 2、maxplusⅡ。 三、实验要求 1、预习教材中的相关内容,编写出D触发器的VHDL源程序。 2 熟悉maxplus软件使用方法 3、用VHDL语言输入方式完成电路设计,编译、仿真。 四、实验内容及参考实验步骤 (一)、设计输入 1、开机,进入maxplusⅡ。 2、为本工程设计建立一个工程文件夹,file之projiect,name之dff1; 3、建立设计文件。 选择File菜单之New项,选择文件类型,本设计选择VHD File。建立一个文本编辑文件

4、点击OK,输入源程序: 5、保存文件。注意,必须保存为vhd类型,且文件名与源程序的实体名相同。

(二)、编译 1、编译。点击Start Compilation按钮进行编译。如果发现错误,改正后再次编译。 (三)、仿真 1、建立波形文件。 选择File菜单之New项,选择waveform edit file的SCF文件类型,建立一个波形文件 2、设定仿真时间:选择菜单file的End Time …50us,.设定仿真时间域。 3、输入端口信号。选择菜单node的enter nodes from snf 项,在弹出得出的对话框中单击List按钮,将需要的端口信号拖倒波形编辑器中。

EAD课程设计D触发器

摘要 本设计是基于ZeniEDA D触发器的设计。本文分四个部分,其中详细叙述了D 触发器的电路设计和版图设计两个部分。第一部分是绪论,主要有集成电路CAD的发展现状、Zeni软件的说明以及集成电路设计流程等内容。第二部分是D触发器的电路设计,首先对Spice仿真进行了说明,然后就是D触发器的总体方案和D触发器的功能描述,还对D触发器的各个功能模块的设计与仿真作了详细说明。第三部分是D触发器的版图设计,首先对版图设计的逻辑划分、布线布局理论等进行了简明的阐述,然后对D触发器的各个单元模块的版图设计进行了说明,并给出了每个功能模块的版图以及D触发器的总版图,最后给出了D触发器的DRC验证和LVS 验证以及导出GDS-Ⅱ文档。本设计几乎涉及了集成电路CAD设计的各个流程,并作了详细的描述与说明。 关键词:D触发器;反相器;与非门;传输门;版图

目录 摘要.................................................................................................................. I 1绪论 . (1) 1.1集成电路CAD的发展现状 (5) 1.2Zeni软件说明 (6) 1.3集成电路设计流程 (3) 2电路设计 (5) 2.1Spice仿真说明 (5) 2.2总体方案及功能描述 (6) 2.3单元模块电路设计及仿真 (8) 3版图设计 (14) 3.1版图设计基础 (14) 3.2单元模块版图设计 (15) 3.3D触发器版图设计 (17) 3.4版图验证 ...................................................... 1错误!未定义书签。 3.5导出GDS-Ⅱ文档 (20) 4总结与体会 (21) 参考文献:................................................................... 错误!未定义书签。致谢 . (24)

D触发器的设计

目录 第一章绪论简介 集成电路

集成电路(Integrated Circuit,简称IC)是20世纪60年代初期发展起来的一种新型半导体器件。它是经过氧化、光刻、扩散、外延、蒸铝等半导体制造工艺,把构成具有一定功能的电路所需的半导体、电阻、电容等元件及它们之间的连接导线全部集成在一小块硅片上,然后焊接封装在一个管壳内的电子器件。其封装外壳有圆壳式、扁平式或双列直插式等多种形式。是一种微型电子器件或部件,采用一定的工艺,把一个电路中所需的、二极管、电阻、电容和电感等元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一个管壳内,成为具有所需电路功能的微型结构;其中所有元件在结构上已组成一个整体,使电子元件向着微小型化、低功耗和高可靠性方面迈进了一大步。集成电路发明者为杰克·基尔比(基于硅的集成电路)和罗伯特·诺伊思(基于锗的集成电路)。当今半导体工业大多数应用的是基于硅的集成电路。 版图设计 版图(Layout)是集成电路设计者将设计并模拟优化后的电路转化成的一系列几何图形,包含了集成电路尺寸大小、各层拓扑定义等有关器件的所有物理信息。集成电路制造厂家根据版图来制造掩膜。版图的设计有特定的规则,这些规则是集成电路制造厂家根据自己的工艺特点而制定的。不同的工艺,有不同的设计规则。设计者只有得到了厂家提供的规则以后,才能开始设计。版图在设计的过程中要进行定期的检查,避免错误的积累而导致难以修改。很多集成电路的设计软件都有设计版图的功能,Cadence 的Virtuoso的版图设计软件帮助设计者在图形方式下绘制版图。 对于复杂的版图设计,一般把版图设计分成若干个子步骤进行: (1)划分为了将处理问题的规模缩小,通常把整个电路划分成若干个模块。 (2)版图规划和布局是为了每个模块和整个芯片选择一个好的布图方案。 (3)布线完成模块间的互连,并进一步优化布线结果。 (4)压缩是布线完成后的优化处理过程,他试图进一步减小芯片的面积。 软件介绍 目前大部分IC 公司采用的是UNIX 系统,使用版本是SunSolaris。版图设计软件通常为Cadence ,它是一个大型的EDA 软件,它几乎可以完成电子设计的方方面面,包括ASIC 设计、FPGA设计和PCB 设计。软件操作界面人性化,使用方便,安全可靠,但价格较昂贵。

D触发器的设计

目录 第一章绪论0 简介0 集成电路0 版图设计 1 软件介绍 1 标准单元版图设计 1 标准单元版图设计的概念 1 标准单元版图设计的历史 1 标准单元的版图设计的优点 2 标准单元的版图设计的特点 2 第二章 D 触发器的介绍2 简介 2 维持阻塞式边沿 D 触发器 3 电路工作过程 3 状态转换图和时序图 3 同步 D 触发器3 电路结构 3 逻辑 功能4 真单相时钟(TSPC)动态 D 触发器 4 第三章工艺基于TSPC原理的 D 触发器设计5 电路图的设计 5 创建库与视图 5 基于TSPC原理的 D 触发器电路原理图 5 创建 D 触发器版图6 设计步骤 6 器件规格7 设计规则的验证及结果8 第四章课程设计总结9 参考文献9 第一章绪论 简介 集成电路 集成电路(Integrated Circuit,简称IC)是20世纪60 年代初期发展起来的一种新型半导体器件。它是经过氧化、光刻、扩散、外延、蒸铝等半导体制造工艺,把构成具有一定功能的电路所需的半导体、电阻、电容等元件及它们之间的连接导线全部集成在一小块硅片上,然后焊接封装在一个管壳内的电子器件。其封装外壳有圆壳式、扁平式或双列直插式等多种形式。是一种微型电子器件或部件,采用一定的工艺,把一个电路中所需的晶体管、二极管、电阻、电容和电感等元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一个管壳内,成为具有所需电路功能的微型结构;其中所有元件在结构上已组成一个整体,使电子元件向着微小型化、低功耗和高可靠性方面迈进了一大步。集成电路发明者为杰克·基尔比(基于硅的集成电路)和罗伯特·诺伊思(基于锗的集成电路)。当今半导体工业大多数应用的是基于硅的集成电路。 版图设计版图(Layout)是集成电路设计者将设计并模拟优化后的电路转化成的一系列几何图形,包含了集成电路尺寸大小、各层拓扑定义等有关器件的所有物理信息。集成电路制造厂家根据版图来制造掩膜。版图的设计有特定的规则,这些规则是集成电路制造厂家根据自己的工艺特点而制定的。不同的工艺,有不同的设计规则。设计者只有得到了厂家提供的规则以后,才能开始设计。版图在设计的过程中要进行定期的检查,避免错误的积累而导致难以修改。很多集成电路的设计软件都有设计版图的功能,Cadence 的Virtuoso 的版图设计软件帮助设计者在图形方式下绘制版图。 对于复杂的版图设计,一般把版图设计分成若干个子步骤进行: (1)划分为了将处理问题的规模缩小,通常把整个电路划分成若干个模块。 (2)版图规划和布局是为了每个模块和整个芯片选择一个好的布图方案。 (3)布线完成模块间的互连,并进一步优化布线结果。 (4)压缩是布线完成后的优化处理过程,他试图进一步减小芯片的面积。

D触发器的设计实验报告

EDA实验报告书 姓名xxx 学号 xxxxxxx 实验时间 课 题 名 称 上升沿触发的D触发器的设计 实验目的1.初步掌握QuatusⅡ软件的使用方法 2.掌握采用VHDL语言设计常见时序逻辑电路的方法 3.理解时钟信号和使能信号在VHDL语言中的表述方法。 4.进一步熟悉VHDL语言的常见语句 设计要求1.设计一个带使能信号的上升沿触发的D触发器。其中EN=1时触发器正常工作. 2.设计带有使能端的JK触发器设计程序 设计思路D触发器的四个端口CLK,D,en,Q数据类型定义为STD_LOGIC,再根据各输入输出的功能编写程序。使上升沿触发,en为控制端。

设计原理图及源程序源程序: LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; ENTITY DF IS PORT (CLK,D,EN: IN STD_LOGIC; Q: OUT STD_LOGIC); END ; ARCHITECTURE bhv OF DF IS SIGNAL Q1 : STD_LOGIC; BEGIN PROCESS (CLK,Q1) BEGIN IF CLK'EVENT AND CLK = '1' THEN IF EN = '1' THEN Q1 <= D ; END IF; END IF; END PROCESS ; Q <= Q1; END bhv ; 带有使能端的JK触发器设计程序 LIBRARY IEEE ; USE IEEE.STD_LOGIC_1164.ALL ; ENTITY JKF IS PORT (CLK,J,K,EN: IN STD_LOGIC; Q,NQ: OUT STD_LOGIC); END ; ARCHITECTURE bhv OF JKF IS SIGNAL Q_S,NQ_S :STD_LOGIC; BEGIN PROCESS (CLK,J,K) BEGIN IF CLK'EVENT AND CLK = '0' THEN IF EN = '1' THEN IF J='0' AND K='1' THEN Q_S<='0';NQ_S<='1'; ELSIF J='1' AND K='0' THEN Q_S<='1';NQ_S <='0'; ELSIF J='1' AND K='1' THEN Q_S<=NOT Q_S;NQ_S<=NOT NQ_s; END IF; END IF; END IF; END PROCESS ; Q<=Q_S; NQ<=NQ_S; END bhv ;

基于TSPC原理的D触发器0.35μm工艺版图设计

目录 第1章:绪论 (2) 1.1 版图设计基础知识 (2) 1.1.1 版图设计流程 (2) 1.1.2 版图设计步骤 (2) 1.1.3 版图设计规则及验证 (3) 1.2 标准单元版图的设计 (4) 1.2.1 标准单元库的定义 (4) 1.2.2 标准单元库用途 (4) 1.2.3 标准单元库设计流程 (4) 1.3 0.35um 工艺的设计规则 (4) 1.3.1 版图设计生成及建库技术 (5) 第2章:D触发器介绍 (6) 2.1 D触发器原理 (6) 2.2 边沿D 触发器 (7) 2.2.1 边沿D 触发器电路结构 (7) 2.2.2 边沿D触发器工作原理 (7) 2.3 维持阻塞D触发器 (9) 2.4 基于TSPC原理的D触发器 (12) 第3章:0.35um工艺基于TSPC原理的D触发器设计 (13) 3.1 动态D触发器电路图的设计步骤及电路图 (13) 3.2 动态D触发器版图的设计步骤及电路图 (14) 3.3 DRC验证 (15) 第4章:课程设计总结 (16) 参考文献 (17)

第1章:绪论 1.1 版图设计基础知识 1.1.1 版图设计流程 版图设计是创建工程制图(网表)的精确的物理描述的过程,而这一物理描述遵守由制造工艺、设计流程以及仿真显示为可行的性能要求所带来的一系列约束。 1.1.2 版图设计步骤 1.首先,市场部通常会详细说明需要开发的产品。 2.下一步是规定设计的结构或者行为。电路设计工程师规定 芯片的结构来满足市场和/或IDEA功能需求。 3.系统仿真由一组工程师完成。这组工程师会对将要集成在 最终芯片中的各个单独模块进行定义和验证。 4.电路设计组完成所有的数字和模拟仿真,来验证电路的方 案和门的连通性,以及门的尺寸(为了满足时序规范)。这些组 需要和版图设计组进行交互,版图设计组会使电路适合芯片的版 图布局。 5.版图设计由版图设计工程师完成。他们的工作包括放置多

相关文档