文档库 最新最全的文档下载
当前位置:文档库 › 相位差测量

相位差测量

相位差测量

相位差测量

两同周期正弦电量对应点间角度差值的测量。此两正弦电量可以同为电压、电流,或一为电压、一为电流等。对应点常取正弦电量由负到正的过零点,相当于正弦电量函数的初相角。相位差的单位是度或弧度,正、负号表示领先或滞后关系。

待测相位差的正弦电量的频率范围很广,因此采用的测量方法和仪器一般随频率的高低来选择。常用的方法是直接法和间接法。

直接法:

使用专用的仪表如指针式相位表、数字相位表,或采用阴级示波器来测量相位差。采用阴极示波器时,将两同频正弦电压信号分别加到示波器的X、Y轴,得到如图1所示的椭圆图形,则两正弦电压之间的相位差∮=arc sin(b/α)。这一方法不能判断两信号哪一个领先或滞后,并且在∮值接近零时,椭圆也退化接近成为一条直线,即b值很小,所以∮值很难测准。

间接法:

通常采用三电压表法。一般要求两电压信号有一公共点(设为a点),当分别测出两信号电压Uab、Uca,以及两电压的差值Ubc后,可画出如图2所示的电压三角形。按余弦定理,两信号电压间的相位差:

当∮很小时,可将Uab或Uca中较大的一个信号电压分压,使分压后两信号的数值相等。如此,在测得Uab(=Uca)及Ubc后,即可得到:

(弧度)

1

检测正弦信号相位差算法的研究(精)

检测正弦信号相位差算法的研究 程捷 (中国计量学院信息工程系, 杭州310034 摘要本文基于最小二乘原理和FFT 的选频特性, 讨论了二种测量正弦信号相位差的方法。该算法适用于短信号序列的相位测量。实验结果表明这二种算法具有数据处理量少, 准确度高的特点。关键词相位检测FFT 最小二乘法 一、引言 有直读法, 本文基于最小二乘原理和快速傅里叶变换(FFT 的选频特性, 提出了用最小二乘法和FFT 检测正弦信号相位差的算法。影响算法的主要因素是采样点数。利用最小二乘法数据处理量少, 准确度高, 而利用FFT 来检测相位差, 算法过程简捷。 二、算法的理论分析 11最小二乘相位测量的算法 假设有两正弦信号v 1(t 、v 2(t 被采样频率f s 采样, 得到一组M 个采样点。待处理的信号如下式所示: v 1(t =V 1sin (Ξt +Υ1 v 2(t =V 2sin (Ξt +Υ2 (1 展开上式可得 v 1(t =C 0sin Ξt +C 1co s Ξt v 2(t =D 0sin Ξt +D 1co s Ξt (2 其中: C 0=V 1co s Υ1, C 1=V 1sin Υ1 D 0=V 2co s Υ2, D 1=V 2sin Υ2故有 V

1C 2 +C 21 , Υ1=arc tg C 0 +〔1-sgn (C 0 2 V 2 D 20+D 2 1, 2tg D 0 2 (3 , C j 、D j 参数(j =0, 1 。为此, 需要应用最小二乘法。根据C j 、D j 参 数总的测量残差平方和最小, 用求偏导数的方法得到C j 、D j 参数的最小二乘估计。 假设信号频率为f =50H z , 采样频率为f s , 选取一定量的采样数据(取决于周期数K 的值 , 则M =I N T (Kf s f =I N T (KN , 这里, I N T 表示取整。采样间隔为?=1 f s , 对连续的 正弦信号按一定的时间间隔?进行采样, 得到 v i (n ? (i =1, 2, ; n =1, 2, …M 。对v 1(t 计算出各采样点值v 1(t 0 , v 1(t 1 , …, v 1(t M -1 , 可得到 v 1(t 的测量残差为: v i =C 0sin Ξt i +C 1co s Ξt i -v 1(t i i =0, 1, …, M -1 (4

相位差检测电路

课程设计报告 课程电子测量与虚拟仪器 题目相位差检测电路 系别物理与电子工程学院 年级08级专业电子科学与技术 班级08电科(3)班学号0502083(02 14 23 24)学生姓名崔雪飞陈祥刘刚李从辉 指导教师徐健职称讲师 设计时间2011-4-25~2011-4-29

目录 第一章绪论 (2) 第二章题目及设计要求 (3) 2.1题目要求 (3) 2.2设计要求 (3) 第三章方案设计与论证 (4) 3.1移相电路设计 (4) 3.2检测电路设计 (4) 3.3显示电路设计 (5) 第四章结构框图等设计步骤 (6) 4.1设计流程图 (6) 4.2模块分析 (7) 4.2.1 移相电路 (7) 4.2.2 检测电路 (7) 4.2.3 显示电路 (8) 4.3结果显示 (9) 4.4总电路图 (11) 第五章误差分析 (12) 第六章总结体会 (13) 第七章参考文献 (14) 附录 (15)

第一章绪论 随着电子技术和计算机技术的发展,电子设计自动化(E-DA) 技术使得电子电路设计人员在计算机上能完成各种电路的设计,性能分析和有关参数的测试等大量的工作。Multi-sim2001是加拿大InteractiveImageTechnologies公司2001年推出的Multisim最新版本,是一个专门用于仿真与设计的工具软件,它丰富的元件库中提供数千种电路元件,随时可以调用;它提供了多种测试仪器仪表,可方便的对电路参数进行测试和分析。移相器在新一代移动通信、电子战、有源相控阵和智能天线等系统中获得广泛的应用。移相器在电子系统中的主要作用是调整系统接收 /发射时电路中的信号相位。本文将介绍用Multisim软件的部分集成电路和控制部件等各种元件来完成移相电路的设计和仿真。 使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 相位差的测量是研究网络相频特性中必不可少的重要方面,如何使相位差的测量快速、精确已成为生产科研中重要的研究课题。 测量相位差的方法很多,主要有:用示波器测量;把相位差转换为时间间隔,先测量出时间间隔,再换算为相位差;把相位差转换为电压,先测量出电压,再换算为相位差;与标准移相器进行比较的比较法(零示法)等。在测量相位差中主要有四种方法,即用示波器测量相位差、相位差转换为时间间隔进行测量、相位差转换为电压进行测量、零示法测量相位差。在此课程设计中主要用到的是相位差转换成计数脉冲数进行测量。

流量计相位差检测方法

科氏质量流量计相位差检测新方法 郑德智 樊尚春 邢维巍 (北京航空航天大学仪器科学与光电工程学院 北京 100083) 摘要 基于科氏质量流量计的工作机理和实际工作情况下的信号频谱分析,提出了切实可行的相位差检测新方法。设计了改进的FI R数字滤波器,实现了对原始输出信号的实时滤波处理,有效地抑制了噪声的干扰,为科氏质量流量计的高精度测量提供了保证。同时该新方法提高了系统的动态品质。实验结果表明,所提出的方法和设计的信号处理系统具有实用价值。 关键词 科氏质量流量计 FI R滤波器 相位差检测 中图分类号 T H814+.6 文献标识码 A 学科分类与代码 460.4030 The Novel Method of Phase Difference Detection in Coriolis Mass Flowmeter Zheng Dezhi Fan Sha ngchun Xing Weiw ei (School of Instrumentation,Beihang University,Beijing100083,China) Abstract Based on the sensing mechanism of Co riolis mass flow meter and analyzing signal spect rum in actual w orki ng,the nov el applied method is devised fo r phase diff erence det ectio n.The improv ed FIR filt er i s designed and used for fil tering o rigi nal sig nals,so the noi se is rest rained ef fectiv ely and the measurement precision of the mass flowmeter is guaranteed.M eanwhile,the dynamic response perfo rmance of the syst em is improved by this novel method.The experimental resul ts showed that the method is well worthy applying. Key words Co riolis mass flow meter FIR filter Phase dif ference det ection 1 引 言 科里奥利质量流量计(以下简称为科氏质量流量计,即CM F)是一种利用被测流体在振动测量管内产生与质量流量成正比的科氏力为原理所制成的一种直接式质量流量仪表。CM F直接敏感被测流体的质量流量,同时可以检测流体的密度、体积流量,是一种应用广泛的新型多功能流量测量仪表。 图1中双U型管工作在谐振状态,流体在管中沿箭头方向流动。由于哥氏效应(Coriolis Effect)的作用,U型管产生关于中心对称轴的一阶扭转“副振动”。该一阶扭转“副振动”相当于U型管自身的二阶弯曲振动。同时,该“副振动”直接与所流过的“质量流量(kg/s)”成比例。因此,通过检测U型管的“合成振动”在B,B’两点的相位差就可以得到流体的质量流量[1~2] 。 图1 U型管质量流量计工作机理 质量流量和相位差的关系为: Q m k=K h B B(1)式中:Q m 为流过管子的质量流量(kg/s); 第26卷第5期 仪 器 仪 表 学 报 2005年5月本文于2003年9月收到,系国家自然科学基金(60274039)资助项目。

测量电感及电容上电流和电压的相位差

测量电感及电容上电流和电压的相位差&测量电容上电流和电压 的相位差 上海中学高二(9)王晓欣、徐烨婷 指导教师杨新毅 实验目的:运用TI-83对电容电路进行实验,测量电容电路中电压与电流之间的相位差,了 解电容电感的性质。 实验原理 对于电阻R1,电流与电压成正比。电压v=Vsinωt,则i= Vsinωt /R。由于电阻R1mR1m1与电容串联,因此两者的电流相等。i= i= Vsinωt /R,电容的电流波形图与电阻的电压L1R1m1波形图的周期、初相位都相同,只在幅值上有所不同。因为只需观察电容的电流电压波形图 周期与初相位的关系,因此可以将电阻的电流波形图与电容的电压波形图进行对比,得出电 容的电压与电流的关系。 实验过程 1. 开机方法: ?用专用接线连接TI—83Plus和CBL。 ?按ON键打开TI—83Plus电源。

?按应用功能键APPS,进入Applications界面(见图1)。 图1 按数字键4选择Physics功能(见图2)。 图2 按ENTER回车键,进入主菜单(见图3)。 图3 2. 探头设定: ?将两个电压探头分别插入CH1,CH2两个插口中,打开CBL电源。 ?在Main Menu下按1选择SET UP PROBES,进入探头设定 菜单(见图4)。在NUMBER OF PROBES菜单中按2选择 图4 TWO。 在SELECT PROBE中按7选择MORE(见图5),再按3(见图6)将第一个探头选择为VOLTAGE。按ENTER 重复以上操作,将第二个探头也设为VOLTAGE。回到主菜 图5 单(见图7)。

图6 图7 3. 参数设定 在Main Menu下按2选择2:COLLECT DATA。在DATA COLLECTION中按2选择2:TIME GRAPH(见图8)。 图8 在ENTER TIME BETWEEN SAMPLES IN SECONDS:后输入时间间隔0.0005。在ENTER NUMBER OF SAMPLES:后输入取样个数100(见图9)。 图9 按ENTER对实验设置进行确认(见图10)。 图10 在CONTINUE中按1选择USE TIME SETUP,用以上设置图11 进行实验(见图11)。 4. 连接电路

相位差检测

目录 一、题目要求 ........................................................ 错误!未定义书签。 二、方案设计与论证 ............................................ 错误!未定义书签。 移相电路 ......................... 错误!未定义书签。 检测电路 ......................... 错误!未定义书签。 显示电路 ......................... 错误!未定义书签。 三、结构框图等设计步骤................. 错误!未定义书签。 设计流程图........................ 错误!未定义书签。 电路图 ........................... 错误!未定义书签。 移相电路图................... 错误!未定义书签。 检测电路图................... 错误!未定义书签。 显示电路图................... 错误!未定义书签。 四、仿真结果及相关分析................. 错误!未定义书签。 移相效果 ......................... 错误!未定义书签。 相位差波形........................ 错误!未定义书签。 相位差度数........................ 错误!未定义书签。 五、误差分析........................... 错误!未定义书签。 误差分析 ......................... 错误!未定义书签。 六、总结与体会......................... 错误!未定义书签。 七、参考文献........................... 错误!未定义书签。 八、附录............................... 错误!未定义书签。 元器件清单........................ 错误!未定义书签。

如何使用相关技术测量相位差

如何使用相关技术测量相位差 测量两个周期信号之间的相位差通常需要采用诸如气象、计算和通信 等方面的科学技术。示波器提供了执行这种测量的快速简单方法。遗憾的是, 示波器的噪声、带宽和时间分辨率会限制其测量的精度。 示波器的采样率决定了其时间分辨率的大小。例如对于一个100MHz 的信号来说,相位上的1 度相当于时间上的27ps。很明显,对于1 度的相位测量精度,示波器的采样时间必须小于这个数值,因此采样率要求高于36GHz,这个数字已经超出了大多数示波器的指标范围。为了演示这种测量方法,我们选 用了Analog Arts 的SA985 USB 示波器,这种示波器具有100GHz 的采样率和1GHz 的带宽。你可以选用满足你应用时间要求的任何示波器开展这种测量。 就是有了合适的示波器,你也必须使用专门的技术才能获得精确的相位测量结果。 示波器的时间标线(人们经常用利萨茹曲线(对信号执行数学运算可以 增强相位测量性能。参考文献1、2 和3 中描写的技术就是这种运算操作的一 些例子。虽然每种方法可能适合某些应用,但测量结果还受到本文讨论范围之 外的其它多种因素影响。此外,这些技术大部分是针对正弦信号的。在诸如测 量FPGA 内部锁相环(PLL)产生的各种时钟相位性能等应用中,这些技术精度明显不高。 一种简单且精确的方法是对信号进行相关运算。相关运算是一种直接的 数学操作。有许多论文(参考文献4)对相关操作及其应用作过全面彻底的解释。由Aanlog Arts 公司开发的一种C#算法就是这种技术的一种实现。相关运算的一个关键优势在于能够发现大多数其它类型信号之间的相位差。这种技术 可以达到的精度主要受限于信号周期的相对精度和示波器的采样率。对于采样

基于集成运放的相位差检测电路设计

课程设计名称:电子技术课程设计 题目:基于集成运放的相位差检测 电路设计 学期:2016-2017学年第2学期 专业: 班级: 姓名: 学号: 指导教师: 辽宁工程技术大学

课程设计成绩评定表

摘要 本课程设计主要要求是设计一个基于集成运放的相位差检测电路。整流滤波电路是提供直流电源的。首先,要把信号源进行移相,用到RC移相电路,配合上集成运放,然后同时把移相之前的信号源和移相之后的信号源给两个过零比较器,结果输出的不是高电平就是低电平,完成了对模拟信号转化成数字信号的任务。他们先异或,接着通过和一个来自555定时器的信号进行与逻辑,然后给在和计数器的clk端进行与逻辑,完成对周期长度和计时器的控制,达到采样的目的,最后数码管显示相位差。完成了相位差检测的功能。

目录 1、综述 2、原理及技术指标 3、单元电路设计及参数计算 3.1整流滤波电路 3.2 RC移相电路 3.3 555定时器电路 3.4计数器显示部分 3.5 参数计算 4、仿真 5、设计比较 6、结论 7、设计体会 参考文献

1 综述 振幅、频率和相位是描述正弦交流电的三个“要素”。以电压为例,其函数关系为 u=Umsin(ωt+φ0) 式中:U m 为电压的振幅;ω为角频率;φ0为初相位。 设φ=ωt+φ0,称为瞬时相位,它随时间改变,φ0是t=0时刻的瞬时相位值。两个角频率为ω1,ω2的正弦电压分别为 u 1=U m1sin(ω1t +φ1) u 2=U m2sin(ω2t +φ2) 它们的瞬时相位差为 Θ=(ω1t +φ1)- (ω2t +φ2) =(ω1-ω2)t+(φ1-φ2) 显然,两个角频率不相等的正弦电压(或者电流)之间的瞬时相位差是时间t的函数,它随时间改变而改变。当两正弦电压的角频率ω1=ω2=ω时,有 Θ=φ1-φ2 由此可见,两个频率相同的正弦量间的相位差是常数,等于两正弦量的初相位之差。在实际的工作之中,经常需要研究诸如放大器、滤波器等各种器件的频率特性,即输出、输入信号间的幅度比随频率的变化(幅频特性)和输出、输入信号间的相位差随频率的变化关系(相频特性)。尤其在图像信号传输与处理、多元信号的相干特性显得更为重要。 相位差的测量是研究网络相频特性中必不可少的重要方面,如何使相位差的测量快速、精确已成为生产科研中重要的研究课题。 测量相位差的方法很多,主要有:用示波器测量;把相位差转换为时间间隔,先测量出时间间隔,再换算为相位差;把相位差转换为电压,先测量出电压,再换算为相位差;与标准移相器进行比较的比较法(零示法)等。在测量相位差中主要有四种方法,即用示波器测量相位差、相位差转换为时间间隔进行测量、相位差转换为电压进行测量、零示法测量相位差。

一种软件测量相位差方法研究

一种软件测量相位差方法研究 作者:杨明1姜万东1宋国云2 (1.珠海万力达电气股份有限公司,广东珠海 519085; 2.酒泉超高压输变电公司,甘肃酒泉 735000) 摘要:传统测相位的办法是通过定时采样信息,经过快速傅立叶变换进行分析,这种做法要求采样点是整个周期的信息,还要进行复杂的作商、求反正切计算,运算量大,对系统时间造成一些浪费。作者根据传统测量方法进行拓展,提出了一种新颖的相位差测量方法,计算量小,用时少,精度高,特别适用于单片机环境下的软件测相位使用。 关键词:相位差;快速傅立叶变换(FFT);单片机;软件测相位 相位差测量是工频交流电气测量技术的一个很重要的部分,电力系统中研究相位差是实现系统并列、准同期、无扰动合闸等工艺的重要前提条件,对系统稳定运行具有重要的意义。 传统的软件测相位的办法是通过定时采样一个周波的信息,利用快速傅立叶变换(FFT),将两个电气测量量的实部、虚部求出,然后对虚部差、实部差之商经过一次反正切计算,得出相位差。该方法运算量大,对系统资源浪费严重,对一些时间性要求比较苛刻的场合应用有局限性。为解决这一矛盾,本文利用考核过零点的时间差,求的相位差,研究数字滤波器,对提高测量精度有重要的意义。 1 信号采样 电气测量一般为50Hz的正弦波,为了满足测量精度、获得充裕的系统应用时间,本方 15电角度。通过单片机的定时中断,法使用的是每周24点的采样密度,既每个采样间隔是 读取中断时刻AD中各路模拟量的数值,分别储存至相应的寄存器数组中,如通道A、B的寄存器分别为AD_BUF_A[order]、AD_BUF_B[order],其中order表示采样点次序,通道A、B采样点次序严格一致。 相位测量对所测的电气量的谐波要求比较严格,所以采样电路的前级的滤波措施要得当,专门的带通滤波器电路,可以很好地解决谐波问题,但是由于滤波回路会产生一些相角偏移,所以滤波器件的选型要严格。为了使测量误差尽可能的降低,为此,软件的滤波措施也要考虑。 2采样数据处理 以通道A为基本相位,研究通道A与通道B过零点的时间差,进而求解两者之间的相位

测量相位差的主要方法

一二测量相位差的方法主要有哪些? 测量相位差可以用示波器测量,也可以把相位差转换为时间间隔,先测量出时间间隔,再换算为相位差,可以把相位差转换为电压,先测量出电压,再换算为相位差,还可以与标准移相器进行比较的比较法(零示法)等方法。 怎么用示波器来测量相位差? 应用示波器测量两个同频正弦电压之间的相位差的方法很多,本节介绍具有实用意义的直接比较法。将u1、u2分别接到双踪示波器的Y1通道和Y2通道,适当调节扫描旋钮和Y增益旋钮,使荧光屏显示出如图2.42所示的上、下对称的波形。 比较法测量相位差 设u1过零点分别为A、C点,对应的时间为t A、t C;u2过零点分别为B、D点,对应的时间为t B、t D。正弦信号变化一周是360°,u1过零点A比u2过零点B提前t B-t A出现,所以u1超前u2的相位。 u1超前u2的相位,即u1与u2的相位差为 (2.56) T为两同频正弦波的周期; ΔT为两正弦波过零点的时间差。 数字式相位计的结构与工作原理是什么?

三数字相位计框图 将待测信号u1(t)和u2(t)经脉冲形成电路变换为尖脉冲信号,去控制双稳态触发电路产生宽度等于ΔT的闸门信号以控制时间闸门的启、闭。晶振产生的频率为fc的正弦信号,经脉冲形成电路变换成频率为fc的窄脉冲。 在时间闸门开启时通过闸门加到计数器, 得计数值n,再经译码,显示出被测两信号的相位差。这种相位计可以测量两个信号的“瞬时”相位差,测量迅速,读数直观、清晰。 数字式相位计称做“瞬时”相位计,它可以测量两个同频正弦信号的瞬时相位,即它可以测出两同频正弦信号每一周期的相位差。 基于相位差转换为电压方法的模拟电表指示的相位计的测量原理是什么? 如图2.44所示,利用非线性器件把被测信号的相位差转换为电压或电流的增量,在电压表或电流表表盘上刻上相位刻度,由电表指示可直读被测信号的相位差。转换电路常称做检相器或鉴相器。常用的鉴相器有差接式相位检波电路和平衡式相位检波电路两种。 数字相位计框 图

电子测量与仪器 第六章 时域测量

第六章时域测量(示波器) 6.1 通用示波器由哪些主要电路单元组成?它们各起什么作用?它们之间有什么联系?6.2 通用示波器垂直偏转通道包括哪些主要电路?它们的主要作用是什么?它们的主要工作特性是什么? 6.3 简述通用示波器扫描发生器环的各个组成部分及其作用? 6.4 在示波器的水平和垂直偏转板上都加正弦信号所显示的图形叫李沙育图形。如果都加上同频、同相、等幅的正弦信号,请逐点画出屏幕上应显示图形;如果两个相位差为90°的正弦波,用同样方法画出显示的图形。 6.5 现用示波器观测一正弦信号。假设扫描周期(T x)为信号周期的两倍、扫描电压的幅度V x=V m时为屏幕X方向满偏转值。当扫描电压的波形如图6.42的a、b、c、d所示时,试画出屏幕上相应的显示图形。 解: a b c d

Vx 6.6 试比较触发扫描和连续扫描的特点。 6.7 一示波器的荧光屏的水平长度为10cm ,现要求在上面最多显示10MHz 正弦信号两个周期(幅度适当),问该示波器的扫描速度应该为多少? 解:正弦信号频率为10MHz ,T =s f T 76101101011-?=?== ,要在屏幕上显示两个周期,则显示的时间为s 71022T t -?==,扫描速度为 s cm /10501021067?=?- 6.8 示波器观测周期为 8ms ,宽度为 1ms ,上升时间为 0.5ms 的矩形正脉冲。试问用示波器分别测量该脉冲的周期、脉宽和上升时间,时基开关( t/cm )应在什么位置(示波器时间因数为 0.05μs ~0.5s ,按 1-2-5 顺序控制)。 解: 在示波器屏幕上尽量显示一个完整周期,而水平方向为10cm ,所以 测量周期时,8ms/10cm =0.8ms/cm ,时基开关应在1ms 位置, 测量脉宽时,1ms/10cm =0.1ms/sm ,时基开关应在100μs 位置, 测量上升时间时,0.5ms/10cm =50μs/cm 时基开关应在50μs 位置 6.9 什么是非实时取样?取样示波器由哪些部分组成?各组成部分有何作用?说明取样示波器观察重复周期信号的过程。 解:由r t BW /35.0=,可知MHz BW 7)1050/(35.09 =?=-,选择示波器时,信号上升时间应大于3~5 t R (示波器上升时间),或者带宽大于3~5f M ,这样只有(2)和(4)满足,而(4)的上升时间最小,观察效果最好,但价格贵。 6.10欲观察上升时间t R 为50ns 的脉冲波形,现有下列四种技术指标的示波器,试问选择哪一种示波器最好?为什么?

相位差检测

课程设计报告 课程电子测量与虚拟仪器课程设计 题目相位差检测电路 系别物理与电子工程学院 年级2008 专业电子科学与技术班级 2 学号 学生姓名 指导教师职称讲师 设计时间2011-3-28~2011-4-1

第一章绪论 (2) 1.1 相位差检测电路的介绍 (2) 1.2 相位差测量的简单介绍 (2) 第二章相位差检测电路 (3) 2.1 移相电路的设计 (3) 2.2 利用MULTISIM设计检测移相电路 (5) 2.2.1 仿真电路虚拟仪器参数调整 (6) 2.2.2移相电路的仿真与分析 (7) 2.3将相位差信号转换成直流电压信号检测 (9) 2.3.1将相位差信号转换成直流电压信号检测的原理 (9) 2.3.2 电路图及具体原理分析 (9) 2.3.3 仿真过程 (10) 2.3.4 系统测量的误差分析 (12) 主要参考文献 (13) 附录 (13)

第一章绪论 1.1 相位差检测电路的介绍 设计一个相位差检测电路,该电路可测试一个经过移相电路的信号(正弦波)移相后与原信号间存在的相位差,可由测试电路检测并显示。要求:设计移相电路;设计检测电路,可以使用MCU或者Labview;使用模拟式检测方法,将相位差信号转换成直流电压或者直流电流信号进行检测;要求分析系统最后的精度。 在此次的电子测量与虚拟仪器课程设计中,我们设计的相位差检测电路主要有两个模块,由这两个模块来实现对相位差的检测并用相应的器件来实现。第一个模块为移相电路,移相电路主要由两个放大器组成。一个放大器可以实现对输入信号进行0~900的移相,那么两个放大器可以实现对输入信号进行0~1800的移相。移相电路的结构比较简单,只要对放大器相应知识进行了解便能很快的设计出移相电路。在移相电路中还应用到了变位器和电容。通过调节变位器可以逐步实现每个度数的相位差;电容的作用则是实现对输入信号的滤波和使放大器工作在稳定的区域。第二个模块则是实现相位差的显示。此部分的模块主要由二极管、异或门以及放大器组成。二极管的作用是使信号工作在正负管压降之间,使电路快速的运行和工作。异或门有三个,异或门的作用主要是实现将信号与基准信号进行比较,将相位差转换成电压差的方法,然后通过电压表将电压显示,最后将电压放大一百倍即使所求的相位差。 1.2 相位差测量的简单介绍 振幅、频率和相位是描述正弦交流电的三个“要素”。以电压为例,其函数关系为 u=U m sin(ωt+φ0) 式中:U m 为电压的振幅;ω为角频率;φ0为初相位。 设φ=ωt+φ0,称为瞬时相位,它随时间改变,φ0是t=0时刻的瞬时相位值。两个角频率为ω1,ω2的正弦电压分别为

数字相位差测量仪的设计

目录 1.设计任务书。 2.设计方案概述。 3.V/f变换测量相位差角的工作原理。 4.电路的组成及参数选择。 4.1整形电路及信号C的形成。 4.2滤波电路的参任务计划书。 4.3V/f变换电路的设计。 4.4 89C52内部资源的利用。 5.应用实例。 6.结论。 7.总结。 一、设计任务书 (一)任务 设计仿真一数字相位计 (二)主要技术指标与要求: (1)输入信号频率为0HZ~250HZ可调 (2)输入信号的幅度为0.5V (3)采用数码管显示结果,相位精确到0.1° (4)采用外部5V直流电源供电 (三)对课程设计的成果的要求(包括图表) 设计电路,安装调试或仿真,分析实验结果,并写出设计说明书。要求图纸布局合理,符合工程要求,所有的器件的选择要有计算依据。 二、设计方案概述 根椐设计任务书的要求,我们参考了一些相关资料书,经过小组的讨论分析,提出了一种用v/f变换测量交流电的相位差的新方法:首先产生出其幅度正比与相位差大小的直流电,再有v/f变换器转换成反映相位差大小的频率信号,在单片机的配合下,最终得到相位差。这种方法具有分辨率高,适应与大范围的各种输入频率等优点。 正弦交流电电信号相位差的测量可以用多种方法实现。比较直接的数字式测量方法是在已知信号周期的前提下用定时的方法测得相位差角对应的时间,然后根据已知的周期将其换算成相位差角度。但

是,这种方法的测量精度依赖于定时器的精度和分辨率。在信号频率较高或频率虽不高但相位差较小时,都可以出现较大的误差。另外,由于直接测量得到的是时间,相位差角要由这一中间结果与信号的周期运算后才能得到,所以周期的测量不可缺少,其测量的精度也将影响相位差的精度。 在此用一种新的思路进行相位差的测量,用v/f变换器把相位差转换成一个其频率与之成正比的脉冲列,通过计算在一定时间内的脉冲个数测量相位差角。这种测量方法与信号的周期无关,可以得到较高的精度。题达到了0.1的测量精度,与此同时工业运行控制中现场操作,修改和设置等问题也得到了很好的解决,以上这些都在工业运行中得到了厂方的认可。存在的问题主要是本仪器通用性很不强,很难在更大的范围应用和推广,只能运用与某些特定的企业。今后的工作主要硬件和软件的改进上,列入增加一些通用行很强的功能模块。 3.V/f变换测量相位差角的工作原理 首先将输入的两个同频率但存在着相位差的信号进行整形,使之变成方波。如图1示A和B 再对A,B进行异或处理, 异或输出信号C 的脉冲宽度则反映相位差角.C 的脉宽T1对应的电角度是相位差角,C 的周期T2 是信号周期T 的1/2.如果信号角频率为w 则T1= /w. C为幅值为U 的方波其平均值Ud=UT1/T2=U 由此可见,C 的平均值( 亦即直流分量)仅与相位差角和脉冲幅 度有关与信号周期无关

相位差检测

目录 一、题目要求 (2) 二、方案设计与论证 (2) 2.1移相电路 (2) 2.2检测电路 (2) 2.3显示电路 (3) 三、结构框图等设计步骤 (4) 3.1设计流程图 (4) 3.2电路图 (5) 3.2.1移相电路图 (6) 3.2.2检测电路图 (6) 3.2.3显示电路图 (7) 四、仿真结果及相关分析 (8) 4.1移相效果 (8) 4.2相位差波形 (8) 4.3相位差度数 (8) 五、误差分析 (9) 5.1误差分析 (9) 六、总结与体会 (9) 七、参考文献 (10) 八、附录 (10) 8.1元器件清单 (10)

一、题目要求 设计一个相位差检测电路,该电路可测试一个经过移相电路的信号(正弦波)移相后与原信号间存在的相位差,可由测试电路检测并显示。要求: 1)设计移相电路; 2)设计检测电路,可以使用MCU或者Labview; 3)使用模拟式检测方法,将相位差信号转换成直流电压或者直流电流信号 进行检测; 4)要求分析系统最后的精度。 二、方案设计与论证 2.1移相电路 此次相位差检测电路的移相部分主要由RC移相电路构成,而RC移相电路主要利用了电容器的电流超前电压90度这一特性。 RC滞后移相电路是电阻器在前面,电容器在后面。输入信号从电阻器进入,输出信号是从电容器上输出。因为电容器要充电,所以电压要比电流滞后90度,等电容充满电后才有电压。输出电路是与电容器并联电压相等,所以输出电路的电压也滞后电流。RC超前移相电路是电容器在前面,电阻器在后面,电容器一样充电电压会滞后电流90度。 由于输入信号经过RC电路后,其幅值有一定的衰减,为了达到移相但不改变其幅值,我们在移相电路后追加了相应的放大器,以保证信号波形不变。 2.2检测电路 相位差的测量可以采用多种方法:一、将两个信号用模拟乘法器做乘法运算,得到的信号通过低通滤波器,将直流量分离出来,直流电压的大小反映了两个信号的相位差。二、采用两个比较器对信号进行过零比较,然后测量出两个上升沿之间的时间间隔,用时间间隔除以周期再乘以360就可以得到相位差。一般高

相位差测量电路设计

本科毕业设计 ( 2015届) 题目:相位差测量电路的设计 学院:机电工程学院 专业:自动化 学生姓名:学号: 指导教师:职称(学位):讲师 合作导师:职称(学位):完成时间:2015 年 5 月 28日 成绩: 黄山学院教务处制

原创性声明 兹呈交的设计作品,是本人在指导老师指导下独立完成的成果。本人在设计中参考的其他个人或集体的成果,均在设计作品文字说明中以明确方式标明。本人依法享有和承担由此设计作品而产生的权利和责任。 声明人(签名): 年月日

目录 摘要.................................................................................................错误!未定义书签。英文摘要.?错误!未定义书签。 1 绪论?1 1.1研究背景及意义?错误!未定义书签。 1.2 发展现状和发展趋势?错误!未定义书签。 1.2.1国外发展状况........................................................错误!未定义书签。 1.2.2 国内发展状况?错误!未定义书签。 1.2.3 发展趋势........................................................................错误!未定义书签。 2 相位差测量的基本原理.............................................................错误!未定义书签。 2.1 相位的基本概念.............................................................错误!未定义书签。 2.2相位差测量原理?错误!未定义书签。 2.3 电路设计原理...................................................................错误!未定义书签。3设计与分析.. (6) 3.1 移相电路...........................................................................错误!未定义书签。 3.1.1 方案分析?错误!未定义书签。 3.1.2 移相电路设计................................................................错误!未定义书签。 3.2 检测电路?错误!未定义书签。 3.2.1 方案分析?错误!未定义书签。 3.2.2 检测电路设计?错误!未定义书签。 3.2.3 LM339特性分析?错误!未定义书签。 3.2.4 双稳态触发器?错误!未定义书签。 3.3 计数显示电路................................................................错误!未定义书签。 3.3.1 方案分析?错误!未定义书签。 3.3.2 计数显示电路设计......................................................错误!未定义书签。 3.3.3 数码管工作原理?错误!未定义书签。 4 仿真与调试?错误!未定义书签。 5实验分析...................................................................................错误!未定义书签。总结?错误!未定义书签。 参考文献?错误!未定义书签。 致谢?错误!未定义书签。 附录?错误!未定义书签。

实验二 相位差测量

实验二相位差测量 一、实验目的 1、掌握将相位差转换为电压的原理。 2、掌握脉冲电压的脉宽与电压平均值成正比的原理。 3、掌握磁电系仪表的基本读数是电流(电压)的平均值。 4、了解硬件电路的设计方法和基本的硬件调试方法。 二、实验类型 综合型 三、实验仪器 四、实验原理 1、原理图

Y 图1 相位表原理图 2、 电路原理 此电路可以检测-180--+180的相位差。两路输入信号Y1、Y2经整形后成为两路与原信号同频率同相位的方波。方波送入异或门CD4070,CD4070的输出是电压脉冲,脉冲宽度与输入信号的相位差绝对值成正比。用磁电式仪表测CD4070的输出电压(根据磁电系仪表的原理,测量值为电压的平均值),测量值Uo 与脉冲宽度成线性关系。因此可得Uo 与输入信号的相位差绝对值成正比。输入信号的超前、滞后由LED 显示,当Y1超前Y2时,LED1亮;当Y1滞后Y2时,LED2亮。 3、 相位差与时间差的关系 360?=T Δt φ 4、 脉冲电压的平均值与脉宽成正比 T U a dt U T dt u T U H a H T av ===??0 011 其中:a ——脉宽。T ——脉冲电压周期。U H ——脉冲电压高电平。 5、 磁电系电压表的读数是电压的平均值。 五、实验内容和要求 1、内容和要求

搭出相位差测量电路,并在具体电路上验证,调系数。具体要求:掌握基本的硬件插接技术,布线必须正确、接触良好,其次要求布线合理、清晰、美观。 2、测量数据 构造如图2所示的电路,信号发生器产生频率为100Hz 的正弦波,调节RC 参数可以改变Y1(电源电压)与Y2(电阻电压,与回路电流同相位)之间的相位差,记为0j 。Y1和Y2作为相位表的输入信号,用磁电系电压表(或万用表测量)测量相位表的输出电压,当输出电压测出后,脉宽a 就可以算出来,a 算出来后,相位差也就可以算出来,记为1j ,比较0j 和1j 。记录发光二极管(LED )的状态,用以确定两路正弦信号的相位关系(超前/滞后)。 表1 阻抗角测量记录表格 Vcc 图2 用相位表测量阻抗角 3、硬件调试方法 制作硬件时,若输出电压值或LED 的状态不正确,需调试硬件电路,找出错误并改正。建议采用以下方法调试硬件: (1)用电压表测量各点电压,或者用示波器观察各点波形。

用虚拟相关法测量两个同频信号的相位差.

虚拟相关法相位差计的设计 摘要 传统测量仪器功能单一,多功能虚拟仪器是现代仪器技术的发展方向。利用Labview设计一种利用虚拟相关法测量相位差计的虚拟仪器,该仪器以测量两个同频正弦波的相位差为基本功能,具备了测量信号频率,显示信号波形、相位差和产生标准信号等功能,体现了虚拟仪器高集成度,一机多用的特点。 本题目属于应用类,设计主要内容利用互相关分析法实现相位差检测,在虚拟仪器设计平台上仿真实现,结合原理和公式进行数据计算分析,充分利用了Labview的性能。 关键词:相关法、相位差,虚拟仪器

目录 虚拟相关法相位差计的设计 (1) 1 引言 (3) 2 相位差测量仪的概述 (3) 2.1 相位差的定义 (3) 2.2 相位差测量仪的应用 (3) 3 Labview软件简介 (4) 3.1 Labview概述 (4) 3.2 Labview的应用 (5) 3.2.1 Labview应用于测试于测量 (5) 3.2.2 Labview应用于实验室研究与自动化 (5) 4 相位差测量方法原理简介 (6) 4.1 相关法相位差测量相位差原理 (6) 5 相位差计设计 (7) 5.1 设计要求 (7) 5.3 Labview平台下软件的实现 (8) 5.4 子模块(VI)设计 (10) 5.5 相位差计设计测试结果 (12) 结论 (16)

参考文献 (17) 1 引言 信号的相位差测量在电工技术,工业自动化,智能控制,通讯及电子技术等许多领域都有着广泛的应用。传统电子模拟式相位差测量采用乘法器法,二极管鉴相法等,需要完成对应的硬件电路。电路的温漂,噪声级干扰信号,都会导致测量结果产生误差。因此,传统的相位差检测方法正逐渐被软件测量方法所替代,通过软件算法来消除温漂、噪声及干扰信号的影响,使测量结果更加精确。 2 相位差测量仪的概述 2.1相位差的定义 相位差:两个频率相同的交流电相位的差叫做相位差,或者叫相差。这两个频率相同的交流电,可以是两个交流电流,可以是两个交流电压,可以是两个交流电动势,也可以是这三种量中的任何两个。 例如研究加在电路上的交流电压和通过这个电路的交流电流的相位差,如果电路是纯电阻,那么交流电压和交流电流的相位差等于零,也就是说交流电压等于零的时候,交流电流也等于零,交流电压达到最大值时,交流电流也将达到最大值。这种情况叫做同相位,或者叫做同相。如果电路含有电感和电容,交流电压和交流电流一般是不等于零的,也就是说一般是不同相的,或者电压超前于电流,或者是电流超前于电压。 加在晶体管放大器基极上的交流电压和从集电极输出的交流电压,这两者的相位差正好等于180?,这种情况叫做反相位,或者叫做反相。 2.2 相位差测量仪的应用 信号的相位差测量仪在电工技术,工业自动化,智能控制,

数字式相位差测量仪说明书

目录 绪论 (1) 摘要 (2) 1 结构设计与方案选择 (3) 1.1 基于过零检测法的数字式相位差测量仪方法概述 (4) 1.1.1 相位-电压法 (4) 1.1.2 相位-时间法 (5) 1.2 方案的比较与选择 (6) 2 相位-时间法单元电路的原理分析与实现方法 (6) 2.1 前置电路设计与分析 (6) 2.1.1 放大整形电路的分析与实现 (6) 2.1.2 锁相倍频电路的分析与实现 (7) 2.2 计数器及数显部分的设计与分析 (9) 2.2.1 计数器部分的分析与实现 (9) 2.2.2 译码显示部分的分析与实现 (10) 3 结论 (12) 4 参考文献 (13) 附录1:元器件名细表 (14) 附录2:相位时间法总体电路原理图 (15) 附录3:相位时间法总体电路PCB板 (16) 附录4:相位时间法总体电路PCB板3D视图 (17)

随着科学技术突飞猛进的发展,电子技术广泛的应用于工业、农业、交通运输、航空航天、国防建设等国民经济的诸多领域中,而电子测量技术又是电子技术中进行信息检测的重要手段,在现代科学技术中占有举足轻重的作用和地位。数字相位差测试仪在工业领域中是经常用到的一般测量工具,比如在电力系统中电网并网合闸时,需要两电网的电信号相同,这就需要精确的测量两工频信号之间的相位差。更有测量两列同频信号的相位差在研究网络、系统的频率特性中具备重要意义。相位测量的方法很多,典型的传统方法是通过显示器观测,这种方法误差较大,读数不方便。为此,我们设计了一种数字相位差测量仪,实现了两列信号相位差的自动测量及数显。近年来,随着科学技术的迅速发展,很多测量仪逐渐向“智能仪器”和“自动测试系统”发展,这使得仪器的使用比较简单,功能越来越多。 本低频数字相位测量仪主要是测量电压和电流的相位差,由整形放大电路、基本门电路、锁相倍频、计数译码等集成电路构成。测量的分辨率可达到0.1°,可测信号的频率范围为0Hz~250Hz,幅度为0.5Ⅴ,由于74HC4046的性能比较好,使得所制得的仪器精度相对较高,达到了任务书中所规定的要求。

相关文档