文档库 最新最全的文档下载
当前位置:文档库 › 心率计课程设计

心率计课程设计

心率计课程设计
心率计课程设计

一摘要

随着社会的发展与进步,我们的生活节奏也越来越快,面对每天繁忙的工作生活,我们不一定能像以前那样定期抽出时间去为自己身体做一次体检。而事实上我们身体承受的负荷却越来越大,相比于以前我们需要给自己的身体以更多的关注,甚至是时刻了解它的健康状况。身体的健康与否在很多方面都会有所体现。比如一个人的心率值就基本能反映一个人心脏是否正常工作的。大家都知道心脏是我们人体中最重要的器官之一,使我们生命的源动力。所以我们能时刻了解它的状态是很重要的。由于我们平时不一定总是能抽出时间去做体检,所以我们需要一个简单的,便于操作的,可靠性高的仪器来帮助我们在短时间内测到我们的心率值。让我们能及时了解到我们现在心脏以及身体的状态。

心率的生理意义

人的心脏比握紧的拳头稍大,平均重量为300g。它是人体内“泵器官”,负责人体血液循环。心脏每天跳动超过10万次,累计使8千多公升的血液,流经约1万9千公里长的动静脉,从而维持血液循环。心脏有四个腔,分别是左心房、右心房、左心室和右心室。右心房接受全身各器官回流的含氧低静脉血并输入右心室,右心室把血液泵入肺脏进行氧气与二氧化碳的气体交换。左心房将自肺脏返回的含氧高的动脉血输入左心室,左心室再将血液输送至全身器官。从我们出生的那一刻起,心脏便24小时不停地工作,为全身输送氧气和养分。心脏能够这样周而复始地有规律地工作,是因为心脏有一个天然的起搏器——窦房结,它能自发地、有节律地发放电脉冲,并沿着结间束、房室结、希氏束和左右束支这一固定的激动传导途径由上向下传遍整个心脏,使心脏各个腔室顺序收缩,完成运送血液的工作。心脏的正常工作要求心脏节律发放和传导系统的结构和功能正常。心率(heart rate)指心脏分钟搏动的次数,它能够反映心脏的工作状态。正常心率决定于窦房结的节律性,成人静

息时约60~100次/min,平均约75次/min。心率可因年龄、性别及其他因素而变化。初生儿心率约130次/min,随年龄增长而逐渐减慢,至青春期乃接近成人的心率。女性心率比男性稍快;运动员心率较慢。成人安静心率超过120次/min者,为心动过速;低于40次/min者为心动过缓。心率受植物性神经和体液因素调节。安静或睡眠时,心迷走中枢紧张性增高,心交感中枢紧张性降低,心率减慢。运动、情绪激动、精神紧张时,心迷走中枢紧张性降低,心交感中枢紧张性升高,心率加快。肾上腺素、去甲肾上腺素、甲状腺素等体液因素也会增快心率。此外,体温每升高1℃,心率加快12~20次/min。

二任务分析及总体设计方案

在认真学习和研究了任务指导书后,在老师的指导与启发下,我初步定下了自己的方案。经过和同学们的集中讨论与研究和数次李老师耐心的讲解与分析,方案在我脑海中逐渐清晰。通过自己的对个别知识的再次学习与网上资料的查阅,最终确定下方案。

2.1任务分析

所设计的电子心率计要求实现在短时间内测量1分钟的心脏跳动次数,并且显示其数字。当心脏跳动次数超过正常范围时,发出异常指示(LED发光二极管发光报警指示)。正常范围可根据需要设定,性能指标:

◆测量范围:正常心跳次数成人为60~90次/分钟,婴儿为90~120

次/分钟,老人为100~150次/分钟,正常范围可以设定;

◆测量精度:测量误差≤±4次/分钟;

◆报警指示:采用LED发光二极管。

基于以上任务要求,经过详细分析得到了如下结论:

◆由于从红外线传感器送来的信号很微弱,一般为(2~5mv),并

且叠加了很多干扰及噪声,这就需要信号放大、滤波与整形电路;

◆要想在短时间内实现对1分钟的心率进行测量(本设计采用15

秒测量),这就需要倍频器(4倍频)和基准时钟定时器(15秒);

◆由于最后要显示其测量数字,这就需要计数器、译码器和显示器;

◆由于最后要用LED报警显示,并且成人、婴儿、老人的心率正常

范围不一样,所以还需要控制器、比较器和报警器。

所设计的红外线电子心率计的核心是在固定的短时间内对低频电脉冲信号进行计数,最后以数字形式显示出来,并且把计数得到的值与正常范围的上下限进行比较,以实现对成人、婴儿和老人的报警指示。2.2总体设计方案

设计方案为:采用传感器,量脉搏的跳动,出微弱的信号,入放大器中放大;后通过滤波器滤除干扰信号后,将形整形为方波或脉冲信号;后经过倍频器增加信号的频率,输入计数器中计数,时通过定时器控制计数的时间,后得出一分钟内脉搏次数即为心率。计数器计数值输入到显示器中显示,同时,将其输入到数值器中与比较器预设值即标准值作比较,若,测量值不在标准值范围内则报警,即LED灯亮。

2.3设计流程图

二器件选择

3.1 传感器的选择

个人认为一个传感器的品质在很大程度上决定于它选用的传感器,现在市场上心率计使用比较主流的传感器有以下几种。CCPS32,CPS182,红外传感应感器,MPS2050。CPS182与MPS2050输出电压较小,且CPS182精确度不是很高,而红外感应传感器同样也有输出电压小的缺点,且价格会略高一些。通过对比,我选择了CCPS32传感器,其灵敏度较高,且输出电压越4伏左右,这样就无需接放大电路,可直接进行滤波,且该款传感器还自带很高的温度自补偿,这是其他传感器所不具备的。以下是CCPS32的具体参数以及介绍。

传感器参数及特性介绍:

CPS32传感器是KAVLICO公司采用陶瓷材料经特殊工艺精制而成的干式陶瓷电容压力传感器

详细说明:

一、概述

CCPS32传感器是KAVLICO公司采用陶瓷材料经特殊工艺精制而成的干式陶瓷电容压力传感器,陶瓷是一种公认的高弹性、抗腐蚀、抗磨损、抗冲击和振动的材料。陶瓷的热稳定特性可以使它的工作温度范围高达-40~125℃,而且具有测量的高精度、高稳定性。其最大特点是:量程可以小到700Pa,抗过载能力可达量程100倍,彻底解决了其它类型传

感器没有小量程及在小量程时过载能力差的缺点,它除具有一般传感器的量程外,其最具特色的是它的正负表压功能,如:±1kPa,±10kPa 等。

CCPS32干式陶瓷电容厚膜压力传感器的高输出,广量程,特别适合制造高性能的工业控制用压力变送器。大圆形膜片表面平整、易安装,是欧美E+H、ABB、SIEMENS、H&B、VEGA等公司压力变送器生产首选传感器。

二、特点

坚固的陶瓷电容敏感膜片

自带厚膜电路输出1-4V

卓越的抗腐蚀、抗磨损性能

平整的大圆形膜片,易安装

高精度、高稳定性

宽的工作温度范围

响应迅速,无迟滞

量程迁移比达10:1

可进行无源标定

三、工作原理

抗腐蚀的干式陶瓷电容压力传感器没有液体的传递,过程压力直接作用在陶瓷膜片的前表面,衬底的电极与膜片电极的电容量变化比例与压力大小,使膜片产生0.03mm的位移,电容的变化值经激光微调,传感器专用信号调理电路ASIC放大输出高达4000mV的直流电压,内置的温度传感器不断测量介质的温度并进行温度补偿。过载时,膜片贴到陶瓷衬底上而不会损坏。当压力恢复到正常时,其性能不受任何影响。彻底解决了低量程过载能力差的缺点,是扩散硅传感器的升级换代产品。标准化的高输出具有极强的抗干扰能力,配专用线路板可进行大的量程迁移(1 0:1)。传感器具有很高的温度稳定性和时间稳定性,自带温度补偿-20~80℃,并可以和绝大多数介质直接接触。

CCPS32陶瓷传感器由于没有液体的传递作用,无任何填充液,不会产生工艺污染,因此在食品、医药等行业有着广泛的应用,加之是干式陶瓷膜片,故不受安装方向影响,以其作为敏感元件生产的压力变送器被广泛地应用在各种测量压力的场合。

四、技术参数

供电电压:5VDC

量程范围:7kPa~30Mpa

响应时间:<1mS

综合误差(包括:线性,迟滞,重复性):0.1...0.2FS%

零点输出:500±50mV

满量程输出:4000±100mV

温度特性(温补范围:-20-80℃):±0.01 %FS/℃

稳定性:<0.1% FSO/年

供电电流:<2mA

工作温度:-40~125℃

抗绝缘性:>;2kV

外形尺寸:32.4×5.25...7.12mm

五、量程选择

因为人的血压一般是在18kpa左右,所以结合传感器的量程范围,选择传感器的量程为 0~30kpa。

3.2 放大电路的选择

因为CCPS32在零点有大约50mv的零点电压,所以可用以高共模抑制比的差分放大电路来消除。而其输出电压就为4伏左右,所以不需要在进行对其输出幅度的放大。传感器输出阻抗大约10千欧左右,结合以上考虑我选择了同相串联双运放高共模抑制比放大电路作为下一级。

其输出波形为

3.3 滤波器的选择

人体的心率一般在60~150次/分钟,所以容易算得其最高频率为:f=150/60=2.5Hz。则可选择一低通滤波器滤掉大于3.14HZ的干扰。

设该低通滤波器的截止频率f0=3.14Hz

f0=1/2πRC=3.14Hz

所以求得 RC=0.05 结合实际情况和电路确定R=200欧 C=250uF

低通滤波器电路图如下:

当将电源频率改为10Hz后,滤波电路输出的波形为:

两个图像对比后,我们可以很明显的看出在频率为10赫兹时输出的电压基本为0,所以可以看出该低通滤波电路能起到较好的滤波作用。

3.4 整形电路的选择

整形电路的功能是将模拟电压信号转化为高低电平信号输出到倍频电路。由于最后计数器输入的方波信号,因此还需要把面得到的信号进行比较整形,从而得到方波。整形电路一般用74LS14和CC40106两种芯片,经过比较,个人认为74LS14集成度高,更稳定。所以整形电路决定选择74LS14。

整形电路电路图如下:

整形后的波形如下:

可以看出该整形电路整形效果较好,基本可以胜任。

3.5 倍频电路的选择

在实际中测心率一般选择一分钟为时间长度,而如果是体检或是有很多人同时需要进行心率的测量,则一分钟的时间会略显漫长。所以我们希望能将测量时间缩短,以便于在尽量短的时间内为更多的人测量其心率值。于是我们需要一个倍频电路来实现这一想法,而如果采用四倍频或更高的话,会带来至少每分钟2次的心率误差,如果患者的心率接近报警值,则有可能导致误报警。综合考虑我这里选择的事2倍频电路,即在误差尽可能小的情况下,节约更多的时间。

电路图如下:

从整形电路输出的波形图如下:

经过倍频电路的波形图如下:

通过两个幅频图我们可以看出2倍频电路工作稳定,可以起到倍频的作用。

3.6 定时器的选择

一般对病人的心率的时间选择为1分钟,且病人的每分钟心率也是医生参考的标准,而之前我们已经设计了一个2倍频的倍频电路,所以这里我们需要设计一个30秒得定时电路。在这里信号可采用多谐振荡器产生,在简单时基电路中,可以用555定时电路。

55定时器功能表:

图十三 555定时器功能表

器件取值:

振荡周期:T=T1+T2=(R1+R2)Cln2

振荡频率:f=1/T=1/(R1+R2)Cln2

设脉冲幅度大于3V小于5V,占空比为q=2/3,振荡周期为30秒则 q=(r1+r2)/(r1+r2)=2/3

故得 R1=R2

由此得 T=(r1+r2)Cln2=30 取C=2u

解得r1=r2=480k

定时器电路图如下:

3.7 显示与计数电路的选择

在心率计的设计过程中计数电路是其中很关键的一部分,通过它测量脉冲次数从而测出心率。所以其测量的精度直接影响到心率计的测量精度。在这里我选择了4511BD 和4518BD 两种芯片。从计数器中输出的信号为四位BCD 码,不能直接输入到显示器中显示,要先经过译码器译码,输出七段显示代码,才能供显示。

4511BD 的引脚图如下:

U10

4511BD_10V

DA 7DB 1DC 2DD 6OA 13OD 10OE 9OF 15OC 11OB 12OG

14

~EL 5~BI 4~LT

3

计数与显示的电路图如下:

仿真后LED的显示情况:

3.8 比较器及报警过程的设计

我们知道心率的标准范围有三种:1,老人,100-150次/分;2,婴儿,90-120次/分;3,成人,60-90次/分。在这里我们需要预设号不同的上下限的数值预测的的心率值比较,如果有计数器输出的计数值超出了对应的范围,则表明是使用者心率异常,需要发出报警,已引起使用人和医生的重视。在这里我们选择的是74LS85D芯片,作为比较与报警部分的主要芯片。

其引脚图如下:

报警与比较部分电路图如下:

至此,心率计电路的器件选择和参数设计部分结束。期间每一部分的设计都通过我的反复研究与仿真都确定下来。

四总体电路图

五器件清单

基于单片机的心率计设计

目录 摘要 (3) 英文摘要 (3) 1 引言 (4) 1.1 心率计的研究背景和意义 (4) 1.2 心率计的研究现状及发展动态 (4) 2 方案论证及元器件选择 (5) 2.1 研究内容及设计指标 (5) 2.2 方案设计与论证 (5) 2.2.1 传感器的选择与论证 (5) 2.2.2 信号处理方案选择和论证 (7) 2.2.3 单片机系统选择和论证 (8) 2.2.4 显示模块选择和论证 (9) 2.3元器件选择及其功能介绍 (9) 2.3.1单片机AT89S52 (9) 2.3.2红外传感器 (11) 2.3.3双运算放大器LM358N (11) 2.3.4 LCD12864 (12) 3 硬件系统设计 (13) 3.1 系统设计框图 (13) 3.2 信号采集电路 (14) 3.3 信号放大电路 (15) 3.3.1一级信号放大电路 (15) 3.3.2 电源模块设计 (16) 3.4 信号比较电路 (17) 3.5 LCD显示电路 (18) 3.6 记忆电路 (18) 3.7 键盘电路 (19) 4 软件设计 (19) 4.1 测量计算原理 (20) 4.2 主程序流程图 (20)

4.3 中断程序流程图 (21) 4.4 定时器T0,T1的中断服务程序 (21) 5 系统测试与结果分析 (22) 5.1 测试方法和仪器 (22) 5.2 仿真与焊接阶段 (23) 5.2.1 仿真阶段 (23) 5.2.2 焊接与完成阶段 (23) 5.3 测试数据与结果分析 (25) 5.3.1测量结果与分析 (25) 5.3.2几种主要系统干扰和影响 (27) 结束语 (28) 参考文献 (29) 附录一:心率计电路图 附录二:部分程序

数字脉搏计_实验报告

【设计任务与要求】 1、要求用十进制数显示被测人体脉搏每分钟跳动的次数,测量范围30~160次/min; 2、要求在短时间内(5s、15s)测出脉搏数/每分钟; 3、测量范围要求在±4次/min以内; 4、要求锁定每分钟脉搏数,将测量结果通过数码管出来,共分为显示计数过程,不显示技术过程两种方案; 5、要求采用手动清零、自动清零(自启动)两种方式。 【课程方案原理框图】 【课程方案】 1、信号发生与采集将脉搏跳动信号传感器转换为与此相对应的电脉冲信号; 2、放大电路把传感器的微弱电流放大,微弱电压放大,采用高输入阻抗的非门进行放大; 3、低通滤波滤除空气中的高频,只让低频脉冲信号通过。对脉搏信号进行采集的时候,空气中交流工频干扰最大,根据有源滤波原理将其滤除。 4、整形电路可用两个非门组成的施密特触发器对放大后的信号进行整形; 5、定时电路用555定时器组成多谐振荡器,达到5s、15s的精确计时; 6、通过计数、译码、显示读出脉搏数,并以十进制数的形式由数码管显示出来。数码管采用共阴数码管。 【单元电路设计与参数计算】 1、信号发生与采集: 通过陶瓷压电传感器对脉搏进行采样收集。 2、放大与滤波电路: 将5mV的正弦信号放大为5V的正弦信号,即差模电压增益为1000。

图示为用LM324设计的同相放大器,其输出信号,Vi 为幅值为5mV 的输入信号。则另: 倍。,即正弦信号放大了可得10001000,321,33≈= Ω==Ω=Vi Vo Av K R R M R Vi R R Vo )1 3 1(+=左图为二阶低通滤波器电压增益随频率变化曲线,在f=f o 之后随f 增加,增益急剧下降,从而达到低于f 频率通过的效果

数字心率计设计资料

数字心率计设计说明书 1、程设计任务书

2.说明书正文 2.1:任务分析与方案设计 心率计是用来测量一个人心脏在单位时间跳动次数的电子仪器。心脏的收缩和舒张引起血压的变化,不同年龄段和不同健康状况的人正常血压范围有较大差异,但是收缩压和舒张压的差值却大致都在40mmHg~50mmHg 范围内。基于此,可以利用压力传感器将人体血压的变化转化成电压的变化,再通过滤波、放大、整形后得到方波,由模拟转化成数字后再进行后续处理。现提出两种计数方案: 1)定时计数 在一定时间内对脉冲信号进行计数。由于任务要求在短时间内测出1分钟心脏跳动的次数,则需要对整形后的方波信号进行倍频;又由于测量误差要求≤±4次/分钟,则最多可以4倍频,此时,测量时间为15s 。 电路模块方框图: 2)定数计时 在定数的脉冲信号持续时间内,对标准时钟信号进行计数,再通过转换得到心率值。如 设置标准时钟信号周期为0.1s ,在 6个脉冲信号持续时间内(即5个心脏跳动周期)对标准时钟信号进行计数,设计数值为 N ,则心率为3000/N 。计算过程如下: 每个脉冲周期To=0.1N/5 s ,则心率S=60/To=3000/N(次/ 分钟)。 电路模块方框图: 方案一的测量时间长,测量误差也较大,且测量误差与测量时间成反比关系;但是计数

值即为心率值,电路实现较为简单。方案二测量时间短,测量误差也小;但是计数后的值还需要进行除法转换后才是心率值,电路实现较为复杂,成本也较高,故采用方案一。 2.2:电路设计,元器件参数计算及选择 2.2.1:传感器的选择 传感器的选择需要综合考虑各项性能参数,这些性能参数要能满足测量要求,现对传感器的各项性能参数以及任务要求分析如下: 1)线性度指传感器输出与输入之间成线性的程度。任务要求是测量心脏跳动的次数,而并未要求测量出血压值,故只需要得到一个个脉冲输出即可,对其量值没有太大要求,故系统对传感器线性度要求不高。 2)灵敏度灵敏度是传感器在稳态下输出变化量对输入变化量的比值。由于人的血压压力较小,属于微压,也是微压差,故要求传感器有较大的灵敏度,才有一个比较大的输出量,对噪声的抑制也会更高。当然,灵敏度也要和后级放大器的放大倍数相匹配,并不是越大越好。3)迟滞也叫回程差,是指在相同测量条件下,对应于同一大小的输入量,传感器正反行程的输出信号大小不相等的现象。由于系统仅要求测出脉冲输出即可,故对迟滞性能要求不高。4)重复性表示传感器在输入量按同一方向作全量程多次测试时所得输入-输出特性曲线一致程度。重复性好,对于噪声抑制有利,故要求传感器有较好的重复性。 5)漂移指传感器在输入量不变的情况下,输出量随时间变化的程度。要求传感器有较小的漂移。 6)频率响应由于脉搏频率较低,所以对传感器频率响应要求不高。 综合以上性能参数以及任务要求,可以选出既能满足测量要求,又最便宜的传感器。通过搜集大量压力传感器信息进行综合比对,飞思卡尔的MPX2050D压阻式硅压力传感器能够满足要求。其主要特点如下: 压力范围0到50kPa 温度补偿范围0 到 +85℃ 独有的硅切应力应变片 提供编带式或卷轴式出货封装选项 对供电电压比率输出 外壳采用聚砜(Mindel S–1000)材料(医用5级许可) 图2.1 传感器外形图图2.2 传感器示意图 其主要性能参数如下: 表2.1

电子技术课程设计——红外线心率计

电子技术课程设计 报告 专业: xxxx 班级: xxxxx 姓名: xxx 学号: xxxxxxxx 指导教师: xxx 完成日期: xxxx年x月x日 目录 一、设计目的------------------------------3 二、设计要求------------------------------3 三、设计指标------------------------------3 四、设计框图及整机概述--------------------3 五、各单元电路的设计及仿真-----------------4 1、检测电路-----------------------------4 2、放大电路-----------------------------5 3、滤波电路-----------------------------5 4、整形电路-----------------------------6 5、倍频电路-----------------------------6

6、定时电路-----------------------------7 7、计数电路-----------------------------7 8、译码电路-----------------------------8 9、显示电路-----------------------------9 六、电路装配、调试与结果分析---------------9 七、设计、装配及调试中的体会---------------10 八、附录(包括整机逻辑电路图和元器件清单)-10 九、参考资源-------------------------------11 一、设计目的 电子技术综合设计是综合应用模技术拟电子技术、数字电子技术、电子设计自动化技术进行电子系统的综合设计。本课程设计通过电子脉搏测试仪的设计,要求学生对红外线心率计的电路布局、安装、调试,让学生了解电子产品的生产工艺流程,掌握常用元器件的识别和测试及电子产品生产基本操作技能,培养学生的动手能力。 二、设计要求 脉搏测试仪是用来测量一个人心脏跳动次数的电子仪器,也是心电图测量的组要部分。本次课程设计要求用红外线传感器检测出手指中动脉血管的微弱波动,由计数器计算出每分钟波动的次数。 三、设计指标 1、设计一个脉搏测试仪,要求实现在30s内测量1min的脉搏数,并且显示其数字。正常人脉搏数为60-80次/min,婴儿为90-100次/min,老人为100-150次/min。可自行设计所需的直流电源。 2、设置指示电路指示直流电源的正常与否。 3、放大电路之后设置指示电路指示放大电路的正常与否。 4、放大电路放大倍数可调。 5、整形电路输出的方波占空比可调。

人体脉搏计--课程设计报告

人体脉搏计 (1) 设计内容及要求 设计题目:设计一个人体脉搏计。 内容简要:人体脉搏计的设计是基于传感器,放大电路,显示电路等基础电路的基础上,实现对人体脉搏的精确测量。其设计初衷是适用于各年龄阶段的人群,方便快捷的测量脉搏次数,并用十进制数显示出来。具体的各部分电路接下来将介绍。 传感器信号:传感器采用了红外光电转换器,作用是通过红外光照射人的手指 的血脉流动情况,把脉搏跳动转换为电信号。 放大电路:由于人体脉搏跳动经过传感器后的初始信号电压值很小,所以利用反相放大器将采集的电压信号放大约50倍。又因为该信号不规则,将接入有源滤波电路,对电路进行低通滤波的同时,再次将电压信号放大1.6倍左右。该电路使信号得到80倍的放大,充分的放大方便了后面的工作电路。 整形电路:本电路旨在采用滞回电压比较器对前面放大以后的信号进行整形,使信号更规则,最终输出矩形信号。 倍频电路 :倍频电路的作用是对放大整形后的脉搏信号进行4倍频处理,以便在15s 内测出1min 内的人体脉搏跳动次数,从而缩短测量时间,以提高诊断效率。 基准时间产生电路:基准时间产生电路的功能是产生一个周期为30s (即脉冲宽度为15s )的脉冲信号,以控制在15s 内完成一分钟的测量任务。具体各部分是由555定时器产生一个周期为0.5秒的脉冲信号,然后用一个D 触发器进行二分频得到周期为1s 的脉冲信号。再经过由74LS161构成的十五进制计数器,进行十五分频,再经D 触发器二分频,产生一个周期为30s 的方波,即一个脉宽为15s 的脉冲信号。 计数、译码、显示电路:计数器采用3个二进制计数器74LS161分别作个、十、百位,并将其设计成十进制计数器(逢十进位),再由7448译码器译码后接到七段数码管LTS547R (共阴极)上完成三位数十进制数的显示。 控制电路:控制电路的作用主要是控制脉搏信号经放大、整形、倍频后进行计数的时间,另外还具有启动电路及为各部分电路清零等功能 设计要求:最终仪器要能够实现在15s 内测量1min 的脉搏数,并且显示其十进制数字。参考值:正常人的脉搏数为60~80次/min ,婴儿为90~100次/min ,老人为100~150次/min 。所以需要三个显示数码管才能完成显示功能。 (2) 系统框图介绍及方案选择 结合以上各部分电路内容及设计要求分析,以控制电路为枢纽,将经传感器、放大整形电路、倍频电路的脉搏信号和时间信号通过控制电路实现对计数器的控制,使其能够准确的显示脉搏数。脉搏计的原理结构图如下: 根据此框图,各部分电路有如下几种设计方案:放大电路可以在同相放大器和反相放大器之间选择,二者几乎没有区别,在此选择使用反相比较器;整形电路可以用555构成的施密特触发器或者由运放组成的迟滞电压比较器,考虑到运放的使用较555简单方便,图1 脉搏计结构框图 控 制 电 路 基准时间产生电路 计数 译 码 显示 传感器 放大与整形 倍频器

脉搏计课程设计报告

报告成绩 电子电路综合实验报告 学生:贺杰 学号:1410404006 专业年级:2014级通信工程4班 指导教师:周妮讲师 起止日期:2016年3月—2016年6月 电气与信息工程学院 2016年6月3日

目录 1目的与意义 (1) 3 方案设计 (1) 4 系统硬件设计 (3) 5仿真调试与分析 (10) 6结论与体会 (10) 参考文献 (10) 附录 (11) 附录A 系统实物图 (11)

摘要:电于脉搏计可以连续台动地测量手术或重危病人的脉搏,也可以用于健康管理,运动员的训练等方面,为提高运用电子技术基本知识进行理论设计、实践创新以及独立工作、团队合作的能力,通过实践制作一个数字频率计,学会合理的利用集成电子器件制作基于数字电路和模拟电路的课程设计与制作。电子脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分,它是用来测量频率较低的小信号。 1目的与意义 一、目的: 1、掌握组合逻辑电路的工作原理及设计方法。 2、学会安装和调试分立元件与集成电路组成的电子电路小系统。 二、意义 对于医院的危重病人,或者在其他一些特殊场合,需对人的脉搏进行连续检测,本课题即针对这一需求,设计一台简易的电子脉搏计。 1、制作要求 实现在15S测量1min的脉搏数,并且显示其数字。正常人脉搏数为60~80次/min 婴儿为90~100次/min,老人为100~150次/min。(只考虑数字部分,即输入波形视为矩形波) 2、制作步骤 (1)拟定测试方案和设计步骤,填写真值表; (2)根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (3)进行相应的仿真测试; (4)设计、调试和安装电路并测试; (5)撰写设计报告。 2 方案设计 电子脉搏计是由脉搏计数器和控制时间的定时电路所组成,并且还要在15S测量出1min的脉搏数。所以,我们先按要求,分开设计各个功能的电路图,然后再组合连接成一个完整的按要求的电子脉搏计。 方案一:

数字式脉搏计设计与制作

《数字电子技术》课程设计报告 班级电气1077 学号 1071206138 学生姓名缪亮亮 专业电气工程及其自动化 系别电子与电气工程学院 指导教师电子技术课程设计指导小组 淮阴工学院 电子信息工程系 2009年12月

1、设计目的: a) 培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产 实际知识去分析和解决工程实际问题的能力。 b) 学习较复杂的电子系统设计的一般方法,了解和掌握模拟、数字电路等知 识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调试。 c) 进行基本技术技能训练,如基本仪器仪表的使用,常用元器件的识别、测 量、熟练运用的能力,掌握设计资料、手册、标准和规范以及使用仿真软件、实验设备进行调试和数据处理等。 d) 培养学生的创新能力。 2、设计要求: 要求:实现在15S内测量1min的脉搏数,并且显示其数字。正常人脉搏数为60~80次/min,婴儿为90~100次/min,老人为100~150次/min。 1、主要单元电路和元器件参数计算、选择; 2、画出总体电路图; 3、安装自己设计的电路,按照自己设计的电路,在通用板上焊接。焊接完 毕后,应对照电路图仔细检查,看是否有错接、漏接、虚焊的现象。 4、调试电路 5、电路性能指标测试 3、总体设计: 3·1数字脉搏测试仪原理方框图

上图即为数字式脉搏计的总体设计框图。该数字式脉搏计由以下几部分组成:1)脉冲产生电路 2)放大整形电路 3)计数电路 4)定时电路 5)译码显示电路 3·2电路组成及工作原理 数字式脉搏计设计的思路是:先由压电陶瓷片YD将拾取的脉搏跳动信号转换成电信号,经LM324放大整形后,送到由CD4553和CD4511组成的计数显示电路。CD4553内部输入端设置了脉冲整形电路,所以对脉冲无甚特殊要求。它只有一组BCD 码输出,但通过内部分时控制可形成三位十进制数字显示。CD4511是译码器,其输出驱动三位LED共阴数码管。BG1、BG2、BG3分别由CD4553的15、1、2脚控制实现三位数码管的分时显示。CD4060组成计数闸门设定电路,R5、R6、C6与其内部电路组成振荡器,振荡器信号经内部213次分频后,由2脚输出延时 60 秒的正脉冲加到CD4553的11脚关闭闸门。使用时,用手表带或松紧带将压电陶瓷片压在手腕的挠动脉处,注意一定要压紧。在合上开关 K1 后即按一下复位开关 K2,使CD4060和CD4553清零,这时计数闸门打开,脉搏信号由CD4553进行计数。1分钟后,CD4060输出一高电平,使计数闸门关闭。这时数码管显示的数字即为每分钟的脉搏数。 3·3各框图的功能和可选电路及特点 1)脉冲产生电路:2)放大整形电路:可选LM324 3)计数电路:可选CD4553 4)定时电路:可选CD4060 5)译码显示电路:可选CD4511驱动共阴极数码管。 3·4电路制作所需的工具 3·5元器件列表

人体脉搏计

电子课程设计目录 第一部分电子课程设计题目及要求 1.题目 (1) 2.设计目的 (1) 3.设计内容及要求 (1) 4.脉搏计的基本原理 (1) 第二部分设计方案 1. 提出方案 (2) 2. 方案比较 (3) 第三部分电路设计与分析 (4) 1. 信号发生与采集 (4) 2. 放大电路 (4) 3.有源滤波电路 (5) 4.整形电路 (7) 5.倍频器 (9) 6.基准时间产生电路 (10) 6.1 NE555定时器 (10) 6.2 用555定时器构造施密特触发器 (11) 6.3 用施密特触发器构造多谐振荡器 (12) 7.计数译码器 (13) 7.1 计数电路 (13) 7.2 译码显示 (14) 8.控制电路 (17) 第四部分所用元件及实验心得 (18) 1.元件列表 (18) 2.实验心得 (18) 3.参考文献 (18) 附:总原理图 (19)

第一部分电子课程设计题目及要求 1. 题目人体脉搏计 2.设计目的 2.1熟悉脉搏计电路的组成、工作原理和设计方法。 2.2掌握多谐振荡器、倍频器、计数器、译码器等的工作原理、使用方法、特点、用途及主要参数的计算方法。 2.3熟悉集成电路74LS00、74LS161、CC4518、CC4511、晶闸管、有源滤波电路的特点、用途及主要参数的选择方法。 3.设计内容及要求 3.1设计题目:设计一个脉搏计。 3.2要求:实现在15s内测量1min的脉搏数,并且显示其数字。正常人的脉搏数为60~80次/min,婴儿为90~100次/min,老人为100~150次/min。 3.3放大与整形电路 放大电路:电压放大倍数u A 约为11倍,选R 4 =100 KΩ,C 1 =100μF。试选择其它元 件参数。有源滤波电路:电压放大倍数选用1.6倍左右。运放可均采用LM324,也可选其它型号运放。 整形电路:选用滞回电压比较器,集成运放采用LM339,其电路参数如下:R 10 =5.1KΩ, R 11=100 KΩ,R 12 =5.1 KΩ。 倍频电路:异或门选用可采用CC系列、也可采用TTL系列。基准时间产生电路:试选择电路其它未知参数。 计数、译码、显示电路:试选择电路其它未知参数。 控制电路:试选择电路其它未知参数。 4.脉搏计的基本原理 分析设计题目要求脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分。由给出的设计技术指标可知,脉搏计是用来测量频率较低的小信号(传感器输出电压一般为几个毫安),它的基本功能应该是 ①用传感器将脉搏的跳动转换为电压信号,并加以放大整形和滤波。 ②在短时间内(15s内)测出每分钟的脉搏数。 简单脉搏计的框图如图1所示。 图1.1 脉搏计原理框图

心率计 毕业设计论文

摘要 在社会飞速发展的今天,人们的物质文化生活得到了极大的提高,但同时多种疾病威胁着人们的生命;而心脏病的发作又是人们难以预防的突发致命疾病,所以健康也被越来越多的人所重视。本设计要解决的问题就是可以测量心率、预防心脏病等心脏方面疾病的数字心率计。 本设计采用以AT89S52单片机为核心的低成本、高精度、微型化数字显示心率计的硬件电路和软件设计方法。整个电路采用模块化设计,由主程序、预置子程序、信号采集子程序、信号放大处理子程序、显示子程序等模块组成。各探头的信号经单片机综合分析处理,实现心率测量的各种功能。在此基础上设计了系统的总体方案,最后通过硬件和软件实现了各个功能模块。相关部分附有硬件电路图、程序流程图。该心率计的原理是用红外光电传感器OPT101接收到人体信号,因人体信号很微弱,所以在电路中设置了双重放大电路(主要芯片:OP07、LM324N)。该信号经放大整形处理后传给A/D转换器实现模拟信号转为数字信号,经过以上处理后,再传给单片机AT89S52计算,计算完后由四位数码管显示出来。 该心率计可以简单的测量出人的心跳和人体体温,基本实现了预定的目标,这将大大减少病人测量心跳和体温的时间。 关键字:心率;测量;单片机AT89S52;转换器

Abstract Today in the rapid development of society, people's material and cultural life has been greatly improved, but also a variety of diseases threatening people's lives; and heart attack is it difficult to prevent sudden fatal disease, so health is also valued by more and more people. The design problem to be solved is that you can measure heart rate, cardiac disease, heart disease and other digital heart rate meter. This design uses to A T89S52 microcontroller core, low-cost, high accuracy, digital display of heart rate meter miniaturization of hardware and software design. The probe by the single chip integrated analysis of signal processing functions to achieve heart rate measurement. On this basis, the overall design of the system program, and finally achieved through various hardware and software modules. With the relevant parts of the hardware circuit, the program flow chart. The principle of the heart rate meter is used to receive infrared photoelectric sensor OPT101 to human signals, the signal is very weak because of the human body, so the circuit is set in the dual amplifier (main chip: OP07, LM324N). The signal passed through enlarged plastic treated A / D converter for analog signals into digital signals, with the above treatment, and then passed to microcontroller AT89S52 calculated, finished up by four digital displayds heart rate, The heart rate meter can easily measure the person's heart rate and body temperature, essentially achieving its stated goals, which will greatly reduce the patients of heart rate and body temperature of the time. Keywords: heart rate;measurement;microcontroller AT89S52;converter

心率计毕业设计论文

心率计毕业设计论文 目录 摘要.......................................................... I Abstract..................................................... I I 1绪论 (1) 1.1课题的来源 (1) 1.2课题设计的目的及功能实现的方法 (1) 1.3论文结构 (2) 2总体方案设计 (4) 2.1心率计原理 (4) 2.2总体电路框图设计 (4) 3元器件选择及其功能介绍 (6) 3.1单片机AT89S52 (6) 3.2传感器OPT101 (7) 3.2.1OPT101的技术性能 (7) 3.2.2OPT101的典型应用 (8) 3.3集成运算放大器OP07 (10) 3.4低功率运算放大器LM324N (11) 3.5A/D转换器ADC0809 (12) 4系统硬件结构设计与仿真 (14) 4.1单片机最小系统 (14) 4.2信号采集电路 (15) 4.3信号放大电路与仿真 (16) 4.3.1信号放大电路与仿真 (16) 4.3.2电源模块设计 (17) 4.4信号比较电路 (18)

4.5A/D转换电路 (19) 4.6显示电路 (19) 4.7系统总体设计原理图 (20) 5系统软件设计 (22) 5.1测量计算原理 (22) 5.2主程序流程图 (22) 5.3中断程序流程图 (23) 5.4定时器T0和T1的中断服务程序 (24) 6系统硬件调试 (25) 6.1系统各部分电路模块测试与仿真 (25) 6.1.1一级放大电路 (25) 6.1.2比较电路 (27) 6.2试验与焊接阶段 (28) 6.2.1试验阶段 (28) 6.2.2焊接与完成阶段 (29) 6.3整机调试 (31) 6.3.1心跳的测量过程 (31) 6.3.2几种主要系统干扰与影响 (31) 6.4试验结果分析 (32) 7总结和展望 (33) 致谢 (35) 参考文献 (36) 附录一: (38)

课程设计————电子心率计的设计

课程设计说明书正文 1:任务分析与方案设计 心率计是用来测量一个人心脏单位时间内跳动次数的电子仪器,由于人体各部位心率一致,所以通常测量人手臂处的脉搏即可测出人体心率。任务要求测出的心率为一分钟内心跳的次数,并显示,测量结果要与标准范围作比较,不在标准范围内则报警。 设计方案为:采用传感器,量脉搏的跳动,出微弱的信号,入放大器中放大;后通过滤波器滤除干扰信号后,将形整形为方波或脉冲信号;将其作为计数控制信号,用基准时间一定的方波作为计数脉冲在一个心跳周期内计数,计数值N 与基准时间T 的乘积就是一次心跳的时间。再对“60/基准时间T ”个脉冲进行N 分频,对分频后的信号计数,其计数值则为本次心率数值。之后计数器计数值输入到显示器中显示,同时,将其输入的频率进行F/V 转换后与标准电压值作比较,若,测量值不在标准值范围内则报警,即LED 灯亮。流程图如下。 2:电路设计,元器件参数计算及选择 2.1:传感器的选择 :

红外线检测原理: 随着心脏的博动,人体组织半透度随之改变,当血液流回心脏,组织半透度增大,这种现象在人体组织较薄的指尖、耳垂等部位最明显。用红外发光二极管产生红外线照射到人体上述部位,并用装在一旁的红外光电管来检测机体组织的透明度并转换成电信号,其信号频率与脉搏频率相对应并且其为低频近似的正弦信号。 TCRT5000(L)具有紧凑的结构发光灯和检测器安排在同一方向上,利用红外光谱反射对象

电压跟随器的显著特点就是,输入阻抗高,而输出阻抗低,一般来说,输入阻抗要达到几兆欧姆是很容易做到的。输出阻抗低,通常可以到几欧姆,甚至更低。 在电路中,电压跟随器一般做缓冲级及隔离级。因为,电压放大器的输入阻抗一般比较高,通常在几千欧到几十千欧,如果后级的输出阻抗比较小,那么信号就会有相当的部分损耗在前级的输出电阻中。在这个时候,就需要电压跟随器来从中进行缓冲。起到承上启下的作用。应用电压跟随器的另外一个好处就是,提高了输入阻抗,这样,输入电容的容量可以大幅度减小,为应用高品质的电容提供了前提保证]1[。 仿真图: 黄色信号(下)为输入信号。 蓝色信号(上)为输出信号。 由图中可以看出,输入输出信号基本相等。 2.3:放大电路的设计 传感器输出为微弱信号,需进行放大后才便于后续电路的处理。考虑到后续电路中滤波器电路也具有信号放大的功能,所以放大器的放大倍数不宜过大,初 步选择为660倍。设计电路的原理如下:

数电脉搏计数器电路课程设计

烟台南山学院 数字电子技术课程设计题目脉搏计数电路设计 姓名:___ XXXXXX ___ 所在学院:_工学院电气与电子工程系 所学专业:_ 自动化 班级:___电气工程XXXX 学号:___XXXXXXXXXXXXXX 指导教师:_____ XXXXXXXX ___ 完成时间:____ XXXXXXXXXXXXX

数电课程设计任务书 一、基本情况 学时:40学时学分:1学分适应班级:12电气工程 二、进度安排 本设计共安排1周,合计40学时,具体分配如下: 实习动员及准备工作:2学时 总体方案设计:4学时 查阅资料,讨论设计:24学时 撰写设计报告:8学时 总结:2学时 教师辅导:随时 三、基本要求 1、课程设计的基本要求 数字电子技术课程设计是在学习完数字电子课程之后,按照课程教学要求,对学生进行综合性训练的一个实践教学环节。主要是培养学生综合运用理论知识的能力,分析问题和解决问题的能力,以及根据实际要求进行独立设计的能力。初步掌握数字电子线路的安装、布线、焊接、调试等基本技能;熟练掌握电子电路基本元器件的使用方法,训练、提高读图能力;掌握组装调试方法。其中理论设计包括总体方案选择,具体电路设计,选择元器件及计算参数等,课程设计的最后要求是写出设计总结报告,把设计内容进行全面的总结,若有实践条件,把实践内容上升到理论高度。 2、课程设计的教学要求 数字电子技术课程设计的教学采用相对集中的方式进行,以班为单位全班学生集中到设计室进行。做到实训教学课堂化,严格考勤制度,在实训期间累计旷课达到6节以上,或者迟到、早退累计达到8次以上的学生,该课程考核按不及格处理。在实训期间需要外出查找资料,必须在指定的时间内方可外出。 课程设计的任务相对分散,每3名学生组成一个小组,完成一个课题的设计。小组成员既有分工、又要协作,同一小组的成员之间可以相互探讨、协商,可以互相借鉴或参考别人

数电课设报告 电子脉搏计设计

数字电子技术课程设计报告题目:电子脉搏计设计 班级: 姓名: 指导老师: 组号: 2

目录 第一章设计设计任务及要求 (1) 第二章方案设计与论证 (1) 2.1方案一 (1) 2.2方案二 (2) 2.3总结方案 (2) 2.4设计原理及方框图 (3) 第三章各单元电路电路设计与分析 (3) 3.1四倍频电路 (3) 3.2脉搏计数电路 (4) 3.3计时控制电路 (5) 3.4时钟信号产生电路 (6) 3.5译码显示电路 (7) 第四章总体电路原理图及元件清单 (7) 第五章电路仿真及仿真结果分析 (9) 第六章作品照片图 (10) 第七章心得体会 (11) 第八章参考文献 (12) 第九章附页 (13)

电子脉搏计 一、设计设计任务及要求 用中小规模集成块模拟世贤电子脉搏计逻辑控制电路的具体要求如下: (1)实现在15s内测量1min的脉搏数。 (2)用数码管将测得的脉搏数用数字的形式显示。 (3)正常人的脉搏数为60~80次/min,婴儿的为90~100次/min,老人为100~150次/min,可通过与上述正常脉搏数比较,给出测脉搏人的脉搏数高出或低出正常范围的数值。 二、方案设计与论证 方案一 1.传感器将脉搏跳动信号转换为与此相对应的电脉冲信号。 2.放大整形电路把传感器的微弱电流放大,微弱电压放大。 3.四倍频器将整形后所得到的脉冲信号的频率提高。如将15s内传感器所获得的信 号频率4倍频,即可得到对应一分钟的脉冲数,从而缩短测量时间。 4.控制电路用555定时器以保证在基准时间控制下,使4倍频后的脉冲信号送到计数、显示电路中。 5.计数、译码、显示电路用来读出脉搏数,并以十进制数的形式由数码管显示出。 6.电源电路按电路要求提供符合要求的直流电源。上述测量过程中,由于对脉冲 进行了4倍频,计数时间也相应地缩短了4倍(15s),而数码管显示的数字却是lmin的脉 搏跳动次数。用这种方案测量的误差为±4次/min,测量时间越短,误差也就越大。 方案二 与方案一相比,信号发生与采集、定时电路、计数译码显示电路不变。其他有所改变。 2)放大电路用普通运放进行发大,为达到高输入阻抗的要求,采用同相比例放大。 3)低通滤波在运放的反馈电阻上并联一个电容,达到滤波的效果。 4)整形电路通过运放组成的单限比较器进行脉冲整形。 方案二的放大电路除了在阻抗匹配方面略显弱势之外,使用更为普遍。为了探索非门 再放大方面的应用,选择了方案一。 总结方案:

基于单片机的心率计设计

目录 摘要 (3) 英文摘要 (3) 1 引言 (4) 1.1 心率计的研究背景和意义 (4) 1.2 心率计的研究现状及发展动态 (4) 2 方案论证及元器件选择 (5) 2.1 研究内容及设计指标 (5) 2.2 方案设计与论证 (5) 2.2.1 传感器的选择与论证 (5) 2.2.2 信号处理方案选择和论证 (7) 2.2.3 单片机系统选择和论证 (8) 2.2.4 显示模块选择和论证 (9) 2.3元器件选择及其功能介绍 (9) 2.3.1单片机AT89S52 (9) 2.3.2红外传感器 (11) 2.3.3双运算放大器LM358N (11) 2.3.4 LCD12864 (12) 3 硬件系统设计 (13) 3.1 系统设计框图 (13) 3.2 信号采集电路 (14) 3.3 信号放大电路 (15) 3.3.1一级信号放大电路 (15) 3.3.2 电源模块设计 (16) 3.4 信号比较电路 (17) 3.5 LCD显示电路 (18) 3.6 记忆电路 (18) 3.7 键盘电路 (19) 4 软件设计 (19) 4.1 测量计算原理 (20) 4.2 主程序流程图 (20)

4.3 中断程序流程图 (21) 4.4 定时器T0,T1的中断服务程序 (21) 5 系统测试与结果分析 (22) 5.1 测试方法和仪器 (22) 5.2 仿真与焊接阶段 (23) 5.2.1 仿真阶段 (23) 5.2.2 焊接与完成阶段 (23) 5.3 测试数据与结果分析 (25) 5.3.1测量结果与分析 (25) 5.3.2几种主要系统干扰和影响 (27) 结束语 (28) 参考文献 (29) 附录一:心率计电路图 附录二:部分程序

电子脉搏计课程设计

电子脉搏计 课 程 设 计 报 告 组长:蔡新源 组员:史志华、张重彬、李海磊、杨威力、刘世洋、孙景伟、冀鹏辉、杨冠军、李峰 朝

目录 摘要 (3) 第一章绪论 (4) 第二章各种元器件及其应用 (5) 1、集成同步计数器及其应用张重彬 (5) 2、BCD-七段共阴数码管史志华 (7) 3、74LS161 计数器的应用杨威力 (10) 4、五进制的自循环冀鹏辉 (12) 5、集成同步计数器及其应用刘世洋 (13) 6、用 74LS161构成一个十进制计数器李海磊 (14) 7、四进制的自循环杨冠军 (15) 8、用 74LS290设置七进制计数器李峰朝 (17) 9、七进制的自循环孙景伟 .. 19 第三章数字脉搏计时器的方案比较 (21) 3.1方案论证 (21) 3.2提出方案 (21) 3.3方案比较 (23) 第四章单元电路的设计 (23) 4.1电路总体框图 (23) 4.2采集、放大与整形电路 (23) 4.2.1传感器 (24) 4.2.2放大电路 (24) 4.2.3整形电路 (25) 4.3倍频电路 (26) 4.4基准时间产生电路 (28) 4.5 计数、译码、显示电路 (28) 4.6 控制电路 (31)

总结 (32) 摘要 人体脉象中富含有关心脏、内外循环和神经等系统的动态信息。而脉搏的病理生理性改变常引发各种心血管事件,脉搏生理性能的改变可以先于疾病临床症状出现,通过对脉搏的检测可以对如高血压和糖尿病等引起的血管病变进行评估。同时脉搏测量还为血压测量,血流测量及其他某些生理检测技术提供了一种生理参考信号。 本文主要介绍了数字式脉搏计的具体实现方法,利用压电传感器产生脉冲信号,经过放大整形后,输入单片机内进行相应的控制,从而测量出一分钟内的脉搏跳动次数,快捷方便。通过观测脉搏信号,可以对人体的健康进行检查,通常被用于保健中心和医院。 关键词脉搏计;脉冲信号;压电传感器

基于单片机的心率计设计毕业设计论文

基于单片机的心率计设计 摘要 心率是指单位时间内心脏搏动的次数,包含了许多重要的生理、病理信息,特别是与心脑血管相关的信息,是生物医学检测中一个重要的生理指标,也是临床常规诊断的生理指标;因此迅速准确地测量心率便显得尤为重要。随着医疗水平和人们生活水平的提高,快速、准确、便携式心率计便成为一种新的发展趋势,同时伴随着单片机技术的发展,基于单片机的便携式心率计便不失为一个好的选择。 本心率计共有三大部分,分别为:传感器部分、信号处理部分、单片机控制部分。传感器部分采用光电式传感器实现对信号采集;信号处理部分则采用放大、滤波、波形变换等方法实现信号的有效处理;而单片机部分则实现对心率的计数和显示功能。通过这三部分的有效组合初步实现对人体心率的一个有效计数。 信号采集采用光电式传感器通过对手指末端透光度的监测,实现信号的采集;信号放大则采用四运放运算放大器LM324,波形变换采用555定时器构成反向施密特触发器;单片机控制模块则采用AT89C51微处理器和相关元器件通过C语言编程实现计数和显示功能。 关键词:心率,光电式传感器,信号处理,AT89C51

DESIGN OF HEART RATE METER BASED ON MCU ABSTRACT Heart rate is refering to the number in unit time of the heart beating, contains many important physiological and pathological information, especially information associated with cardiovascular, biomedical detection an important physiological indexes, and routine clinical diagnosis of physiological indexes; so quickly and accurately measuring heart rate appears to be particularly important. With the improvement of medical level and people's living standards, rapid, accurate and portable heart rate meter has become a new trend, accompanied by the development of SCM technology, will not be regarded as a good choice of meter based on microcontroller portable heart rate. Heart rate meter consists of three parts, respectively: sensor part, signal processing part, MCU control part. Part of the sensor using photoelectric sensor achieved the signal of the signal acquisition; signal processing part uses the amplification, filtering, waveform transform method to effectively d eal with; and part of SCM is to achieve counting on heart rate and display function. Through the effective combination of these three parts, an effective count of human heart rate is realized.. Signals were collected using photoelectric sensor through the monitoring of the degree of light at the end of a finger, to realize the signal acquisition; signal amplification four operational amplifier LM324 operational amplifier is used, the waveform transform the 555 timer constitute reverse Schmitt trigger; MCU control module is used AT89C51 microprocessor and related components by C language programming counting and display function. KEY WORDS: heart rate, sensor photoelectric, signal processing, AT89C51

相关文档
相关文档 最新文档