文档库 最新最全的文档下载
当前位置:文档库 › 北航-材料力学实验报告-切变模量G的测定

北航-材料力学实验报告-切变模量G的测定

北航-材料力学实验报告-切变模量G的测定
北航-材料力学实验报告-切变模量G的测定

北京航空航天大学、材料力学、实验报告

实验名称:切变模量G 的测定

学号 390512-- 姓名

--- 实验时间:2010.12.21 试件编号 试验机编号 计算机编号 应变仪编号 百分表编号 成绩

实验地点:主楼南翼116室

3 3 - - -

教师

年 月 日

一、实验目的

1.了解用电阻应变测试方法、扭角仪测试方法测定材料扭转时的剪切弹性模量G 的方法;

2.测定试件材料的剪切弹性模量;

3.理解剪切弹性模量的定义和变形方式。 二、实验原理

(一)电测法测切变模量

材料扭转时,剪应力与剪应变成线性比例关系范围内剪应力τ与剪应变γ之比称剪切弹性模量或切变模量,以G 表示即:

γ

τ=

G

上式中的τ和γ(或以δ表示)均可由实验测定,其方法如下。

在试件的前后表面A 、C 两点处分别贴上应变片:

试件贴片处扭转切应力为

p

W T =

τ

式中,p W 为抗扭截面系数。

实验采用等量逐级加载法。设各级扭矩增量为i T ?,应变仪读数增量为ri ε?,从每级加载中,可求得切变模量为

ε

??=

p W T G 2

根据本实验:a P T ??=? 故:

ε

????=

p W a P G 2

实验组桥方案见报告最后的实验附图。

(二)扭角仪测切变模量

圆轴受扭时,材料处于纯剪切应力状态。在比例极限以内,材料的剪应力与剪应变成正比,即满足剪切虎克定律,有:p

I TL

G ?=

通过扭转试验机,对试件逐级增加同样大小△T ,相应地由扭角仪测出扭转角增量△Φ,根据本实验装置,于是有:

p

I b L a P G ??????=

δ

三、实验步骤

1.设计数据表格;

2.测量试件尺寸;

3.拟定加载方案;

4.试验机准备,仪器调整;

5.测量实验装置所需尺寸;

6.确定组桥方式及参数;

7.安装扭角仪及百分表;

8.检查试车;

9.进行试验;

10.数据检察,卸载,关闭电源,整理设备。 四、实验数据:

基本尺寸及平均值:

1/4桥数据及逐差法处理数据:

*:数据中的平均值是对四组数据分别取绝对值后再计算得到的平均值。 半桥数据及逐差法处理数据:

全桥数据及逐差法处理数据:

百分表读数及逐差法处理数据:

五、数据处理:

实验中△P=1000N

由16

3

d

W p π=

,W p =12.5569x10-6 m 3

将数据进行单位换算,然后利用ε

????=p W a P G 2:

将1/4桥数据带入公式计算得:G 1=80.61GPa ,G 2=80.35GPa ,取平均值:G=80.9GPa 将半桥数据带入公式计算得:G 1=80.61GPa ,G 2=80.12GPa ,取平均值:G=80.4GPa 将全桥数据带入公式计算得:G 1=80.12GPa ,G 2=80.12GPa ,取平均值:G=80.1GPa 将三种组桥方案计算得到的G 取平均值,得到电测法测得的切变模量:

G=80.3GPa

根据公式p

I b L a P G ??????=

δ,将百分表数值及其他数据(其中4

7

4

10

51076.232

m d

Ip -?==

π)带入公式计

算得:

G 1=83.08GPa ,G 2=85.599GPa ,取平均值:G=84.3GPa 故扭角仪测切变模量法测得的切变模量:

G=84.3GPa

六、实验附图:

组桥图,分别是1/4桥、半桥、全桥:

验证胡克定律:

2020年度北航材料力学试题

2003北京航天大学材料力学试题 【一】、选择题,从所给答案中选择一个正确答案(本题共10分,每小题5分) 1、在下列四种工程材料中,_________不可应用各向同性假设。 A.铸铁;B.玻璃;C.松木;D.铸铜。 2、设图示任意平面图形对该平面内的1Z 、2Z 、3Z 轴的惯性矩分别为1I 、2I 、3I ,对点的极惯性矩为p I ,在列关系式中,_________是正确的。(1Z 轴垂直于3Z 轴) A.2I =1I +3I ; B.p I =1I +2I ; C.p I =1I +3I ; D.p I =2I +3I 。 题一.2图 【二】、填空题(本题共20分,每小题5分) 1、如题二(1)图a 所示圆轴承受扭距T,在沿轴线成45°处贴有电阻应变片1R 和2R 。将1R 和2R 接到题二(1)图b 所示电桥上,电桥中3R 和4R 是阻值相同的固定电阻。设电阻应变仪上的读数应变为ε,则应变片1R 的应变1ε=________。 题一.1图 2、杆1、2和3的横截面积及长度均相等,其材料的应力应变去向如题二(2)图所示。则______强度最高。 ______刚度最高。______塑性最好。

3、已知各向同性线弹性材料常数为E ,泊松比为μ,材料内某点主应变 1σ>2σ>3σ=0。则此点第三主应变3ε(1ε>2ε>3ε)的大小为______,此点最大切应变m ax γ的大小为______。 4、影响构件疲劳的主要因素包括___________________,___________________,和___________________, 【三】、(15分)画出题三所示梁的剪力图和弯矩图。 题三图 【四】、(20分)图示桁架两杆材料相同,拉压许用应力相等,为[]σ。两杆夹角为α,2杆长为l 。节点B 作用向下的载荷F 。不考虑稳定条件。1.设计两杆的横截面积1A 和2A ;2.将1A 、2A 、α作为可设计量,保持结构其余参数不变,求结构重量最轻时的α值。

北航电子电路设计数字部分实验报告

电子电路设计数字部分实验报告 学院: 姓名:

实验一简单组合逻辑设计 实验内容 描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出结果1,否则给出结果0。 实验仿真结果 实验代码 主程序 module compare(equal,a,b); input[7:0] a,b; output equal; assign equal=(a>b)1:0; endmodule 测试程序

module t; reg[7:0] a,b; reg clock,k; wire equal; initial begin a=0; b=0; clock=0; k=0; end always #50 clock = ~clock; always @ (posedge clock) begin a[0]={$random}%2; a[1]={$random}%2; a[2]={$random}%2; a[3]={$random}%2; a[4]={$random}%2; a[5]={$random}%2; a[6]={$random}%2; a[7]={$random}%2; b[0]={$random}%2; b[1]={$random}%2; b[2]={$random}%2; b[3]={$random}%2; b[4]={$random}%2;

b[5]={$random}%2; b[6]={$random}%2; b[7]={$random}%2; end initial begin #100000 $stop;end compare m(.equal(equal),.a(a),.b(b)); endmodule 实验二简单分频时序逻辑电路的设计 实验内容 用always块和@(posedge clk)或@(negedge clk)的结构表述一个1/2分频器的可综合模型,观察时序仿真结果。 实验仿真结果

北航材料力学试题往年试卷-01-答案

班号 学号 姓名 成绩 《 材 料 力 学 A 》期末试卷 一、选择题 (单选,共12分,每小题3分) 1、下列说法正确的是: D 。 A 、各向同性材料是指材料内部任意位置的力学性能都是相同的; B 、材料的刚度越大越不容易发生屈服变形; C 、塑性材料的强度极限高于脆性材料的强度极限; D 、脆性材料的单向压缩许用应力一般高于单向拉伸许用应力。 2、下列说法正确的是 C 。 A 、薄壁圆管的扭转切应力公式只适用于线弹性、各向同性材料; B 、任意截面形状的闭口薄壁杆横截面上的扭转切应力为常数; C 、开口薄壁杆的抗扭性能很差,对于受扭构件,一般不要采用开口薄壁杆; D 、圆轴扭转的刚度条件是圆轴的抗扭模量不能超过许用值。 3、下图所示两均质矩形截面等厚梁,材料相同、总长度相同、AB 段的长度与横截面形状相同。下面结论中正确的是: B 。 A 、两梁 B 截面的挠度和转角不相同; B 、两梁B 截面的挠度和转角相同; C 、两梁C 截面的挠度和转角相同; D 、图(a )所示梁C 截面挠度和转角均小于图 (b )所示梁C 截面的挠度和转角。 F 图(b )

4、下图所示正方形截面杆件,横截面ABCD 上拉应力最大的点是 B 。 二、填空题(8分,每空1分) 1、由于截面急剧变化引起的应力局部增大现象,称为 应力集中 。 2、 几个载荷同时作用时产生的效果,等于各个载荷单独作用时产生的效果的总和, 这一原理 称为载荷叠加原理。 当构件的受力和变形满足 线弹性(物理线性) 条件与 小变形(几何线性)条件时, 载荷叠加原理方能适用。 3、在进行纯弯梁横截面上正应力分析时,除了运用静力学方程外,还运用了 几何 方程与 物理 方程,并根据梁的变形特点作了 平面 假设与 单向受力 假设。 F

北航电子电路设计训练模拟分实验报告

北航电子电路设计训练模拟部分实验报告

————————————————————————————————作者:————————————————————————————————日期:

电子电路设计训练模拟部分实验 实验报告

实验一:共射放大器分析与设计 1.目的: (1)进一步了解Multisim的各项功能,熟练掌握其使用方法,为后续课程打好基础。 (2)通过使用Multisim来仿真电路,测试如图1所示的单管共射放大电路的静态工作点、电压放大倍数、输入电阻和输出电阻,并观察 静态工作点的变化对输出波形的影响。 (3)加深对放大电路工作原理的理解和参数变化对输出波形的影响。 (4)观察失真现象,了解其产生的原因。 图 1 实验一电路图 2.步骤: (1)请对该电路进行直流工作点分析,进而判断管子的工作状态。 (2)请利用软件提供的各种测量仪表测出该电路的输入电阻。 (3)请利用软件提供的各种测量仪表测出该电路的输出电阻。 (4)请利用软件提供的各种测量仪表测出该电路的幅频、相频特性曲线。 (5)请利用交流分析功能给出该电路的幅频、相频特性曲线。 (6)请分别在30Hz、1KHz、100KHz、4MHz和100MHz这5个频点利用示波器测出输入和输出的关系,并仔细观察放大倍数和相位差。 (提示:在上述实验步骤中,建议使用普通的2N2222A三极管,并请注 意信号源幅度和频率的选取,否则将得不到正确的结果。) 3.实验结果及分析: (1)根据直流工作点分析的结果,说明该电路的工作状态。 由simulate->analyses->DC operating point,可测得该电路的静态工作点为:

05切变模量测量要点

实验报告:切变模量的测量 张贺PB07210001 一、实验题目: 切变模量的测量 二、实验目的: 在这个实验中,用扭摆来测量金属丝的切变模量,同时要学习尽量设法避免测量那些较难测准的物理量,测量那些较难测准的物理量,从而提高实验精度的设计思想。 三、实验仪器: 扭摆、圆盘、钢丝、金属环、游标卡尺、螺旋测微器、米尺、秒表 四、实验原理: 实验对象是一根上下均匀而细长的钢丝,从几何上说就是一个如图 5.3.2-1所示的细长的圆柱体,其半径为R,长度为L。将其上端固定,而使其下端面发生扭转。扭转力矩使圆柱体各截面小体积元均发生切应变。在弹性限度内,切应变γ正比于切应力τ: γ τG =(1)这就是剪切胡克定律,比例系数G即为材料的切变模量。

钢丝下端面绕中心轴线OO ’转过φ角(即P 点转到了P ’的位置)。相应的,钢丝各横截面都发生转动,其单位长度的转角L dl d //??=。分析这细圆柱中长为dl 的一小段,其上截面为A ,下截面为B (如图5.3.2-2所示)。由于发生切变,其侧面上的线ab 的下端移至b ’,即ab 转动了一个角度γ,?γRd dl bb ==',即切应变 dl d R ? γ= (2) 在钢丝内部半径为ρ的位置,其切应变为 dl d ? ρ γρ= (3) 由剪切胡克定律dl d G G ? ργτρρ==可得横截面上距轴线OO ’为ρ处的切应 力。这个切应力产生的恢复力矩为 ρ? ρπρπρρτρd dl d G d ?=???3 22 截面A 、B 之间的圆柱体,其上下截面相对切变引起的恢复力矩M 为 ?=? =R dl d GR dl d d G M 04322?π?ρρπ (4) 因钢丝总长为L ,总扭转角dl d L ? ?=,所以总恢复力矩 L GR M ? π 4 2 = (5) 所以

(4)材料切变模量G的测定

材料切变模量G的测定 实验(一)用百分表扭角仪法测定切变模量G 一、目的 在比例极限内验证扭转时的剪切虎克定律,并测定材料的切变模量G。 二、仪器设备 1、多功能组合实验台 2、百分表 三、试件 空心圆管:材料为不锈钢、内径d= 40.2 mm、外径D= 47.14 mm、长度L=420mm 四、预习要求: 1、阅读第二章中多功能组合实验台工作原理、使用方法以及百分表的工作原理。 五、实验原理与方法 实验装置如图3-13所示,加载示意图见图3-14。试件的一端安装在圆管固定支座上,该端固定不动,另一端可以转动,并在可动端装有一滚珠轴承支座加以支承。靠近轴承安装一横杆AB,在A点通过加载手轮加载。这样试件在荷载作用下,仅仅受到纯扭转的作用。可动端只能产生绕空心圆管轴线方向的角位移。当试件受到扭转作用时,可动端的横截面转动,此时横杆也转动。通过百分表(或千分表)测定B点的位移(由于B点转动角很小,B点的位移约等于B点的弧长), ?(见图3-15)。 这样便可以计算出试件可动端的转角大小? 图3-13 扭转实验装置

图3-14扭转加载示意图 图3-15圆管转角示意图 根据扭转变形公式 P GI TL ?=?? 式中:b B ?=??; △T=△P ×a 可计算出切变模量 )(3244d D I P -=π P I TL G ???= 施加载荷△P 时,试件便受到扭矩△T=△P ×a 的作用,对试件分级加载,由于各级荷载相等,故相应于每级加载后的读数增量△B 也应基本相等(即??相等),从而验证了剪切虎克定律。根据实验中测得的扭转角增量??,便可以求出切变模量G 。 六、实验步骤 1、打开测力仪电源,如果此时数字显示不为“0000”,用螺丝刀将其调整为“0000”。 2、旋转百分表外壳,使大指针指到“0”。 3、顺时针转动加载手轮加载,分四级加载,每级加载200N ,一直加到800N (200N →400N →600N →800N )。每加一级荷载后,读取百分表的读数并记录。为了保证实验数据的可靠性,须重复进行三次实验,取一组线性较好的(也就是读数差基本相等的)数据进行计算。 注意事项: 1、切勿超载....,所加荷载最大不能超过..........1000N ,否则将损坏试件....... 。 2、保护好百分表......,防止其脱落摔坏....... 。 七、预习思考题 1、试件在可动端为什么要加装滚动轴承支座? 2、在实验中是怎样验证剪切虎克定律的?怎样测定和计算G ?

北航自动控制原理实验报告(完整版)

自动控制原理实验报告 一、实验名称:一、二阶系统的电子模拟及时域响应的动态测试 二、实验目的 1、了解一、二阶系统阶跃响应及其性能指标与系统参数之间的关系 2、学习在电子模拟机上建立典型环节系统模型的方法 3、学习阶跃响应的测试方法 三、实验内容 1、建立一阶系统的电子模型,观测并记录在不同时间常数T时的响应曲线,测定过渡过程时间T s 2、建立二阶系统电子模型,观测并记录不同阻尼比的响应曲线,并测定超调量及过渡过程时间T s 四、实验原理及实验数据 一阶系统 系统传递函数: 由电路图可得,取则K=1,T分别取:0.25, 0.5, 1 T 0.25 0.50 1.00 R2 0.25MΩ0.5M Ω1MΩ C 1μ1μ1μ T S 实测0.7930 1.5160 3.1050 T S 理论0.7473 1.4962 2.9927 阶跃响应曲线图1.1 图1.2 图1.3 误差计算与分析 (1)当T=0.25时,误差==6.12%; (2)当T=0.5时,误差==1.32%; (3)当T=1时,误差==3.58% 误差分析:由于T决定响应参数,而,在实验中R、C的取值上可能存在一定误差,另外,导线的连接上也存在一些误差以及干扰,使实验结果与理论值之间存在一定误差。但是本实验误差在较小范围内,响应曲线也反映了预期要求,所以本实验基本得到了预期结果。 实验结果说明 由本实验结果可看出,一阶系统阶跃响应是单调上升的指数曲线,特征有T确定,T越小,过度过程进行得越快,系统的快速性越好。 二阶系统 图1.1 图1.2 图1.3

系统传递函数: 令 二阶系统模拟线路 0.25 0.50 1.00 R4 210.5 C2 111 实测45.8% 16.9% 0.6% 理论44.5% 16.3% 0% T S实测13.9860 5.4895 4.8480 T S理论14.0065 5.3066 4.8243 阶跃响应曲线图2.1 图2.2 图2.3 注:T s理论根据matlab命令[os,ts,tr]=stepspecs(time,output,output(end),5)得出,否则误差较大。 误差计算及分析 1)当ξ=0.25时,超调量的相对误差= 调节时间的相对误差= 2)当ξ=0.5时,超调量的相对误差==3.7% 调节时间的相对误差==3.4% 4)当ξ=1时,超调量的绝对误差= 调节时间的相对误差==3.46% 误差分析:由于本试验中,用的参量比较多,有R1,R2,R3,R4;C1,C2;在它们的取值的实际调节中不免出现一些误差,误差再累加,导致最终结果出现了比较大的误差,另外,此实验用的导线要多一点,干扰和导线的传到误差也给实验结果造成了一定误差。但是在观察响应曲线方面,这些误差并不影响,这些曲线仍旧体现了它们本身应具有的特点,通过比较它们完全能够了解阶跃响应及其性能指标与系统参数之间的关系,不影响预期的效果。 实验结果说明 由本实验可以看出,当ωn一定时,超调量随着ξ的增加而减小,直到ξ达到某个值时没有了超调;而调节时间随ξ的增大,先减小,直到ξ达到某个值后又增大了。 经理论计算可知,当ξ=0.707时,调节时间最短,而此时的超调量也小于5%,此时的ξ为最佳阻尼比。此实验的ξ分布在0.707两侧,体现了超调量和调节时间随ξ的变化而变化的过程,达到了预期的效果。 图2.2 图2.1 图2.3

FPGA实验报告北航电气技术实验

FPGA电气技术实践 实验报告 院(系)名称宇航学院 专业名称飞行器设计与工程(航天)学生学号XXXXXXXX 学生姓名XXXXXX 指导教师XXXX 2017年11月XX日

实验一四位二进制加法计数器与一位半加器的设计实验时间:2017.11.08(周三)晚实验编号20 一、实验目的 1、熟悉QuartusII的VHDL的文本编程及图形编程流程全过程。 2、掌握简单逻辑电路的设计方法与功能仿真技巧。 3、学习并掌握VHDL语言、语法规则。 4、参照指导书实例实现四位二进制加法计数器及一位半加器的设计。 二、实验原理 .略 三、实验设备 1可编程逻辑实验箱EP3C55F484C8 一台(包含若干LED指示灯,拨码开关等)2计算机及开发软件QuartusII 一台套 四、调试步骤 1四位二进制加法计数器 (1)参照指导书实例1进行工程建立与命名。 (2)VHDL源文件编辑 由于实验箱上LED指示灯的显示性质为“高电平灭,低电平亮”,为实现预期显示效果应将原参考程序改写为减法器,且”q1<= q1+1”对应改为”q1<= q1-1”,以实现每输入一个脉冲“亮为1,灭为0”。 由于参考程序中的rst清零输入作用并未实现,所以应将程序主体部分的最外部嵌套关于rst输入是否为1的判断,且当rst为1时,给四位指示灯置数”1111”实现全灭,当rst为0时,运行原计数部分。 (3)参照指导书进行波形仿真与管脚绑定等操作,链接实验箱并生成下载文件 (4)将文件下载至实验箱运行,观察计数器工作现象,调试拨动开关查看是否清零。 可以通过改变与PIN_P20(工程中绑定为clk输入的I/O接口)相连导线的另一端所选择的实验箱频率时钟的输出口位置,改变LED灯显示变化频率。 并且对照指导书上对实验箱自带时钟频率的介绍,可以通过改变导线接口转换输入快慢,排查由于clk输入管脚损坏而可能引起的故障。

北航17系光电子实验报告实验5讲解

光电子技术实验报告

实验五光电池特性实验 一.实验目的: 1.学习掌握硅光电池的工作原理。 2.学习掌握硅光电池的基本特性。 3.掌握硅光电池基本特性测试方法。 二.实验原理: 光电池是一种不需要加偏置电压就能把光能直接转换成电能的PN结光电器件,按光电池的功用可将其分为两大类:即太阳能光电池和测量光电池,本仪器用的是测量用的硅光电池,其主要功能是作为光电探测,即在不加偏置的情况下将光信号转换成电信号。 图(20)图(21)如图(20)所示为2DR型硅光电池的结构,它是以P型硅为衬底(即在本征型硅材料中掺入三价元素硼或镓等),然后在衬底上扩散磷而形成N型层并将其作为受光面。如图(21)所示当光作用于PN结时,耗尽区内的光生电子与空穴在内建电场力的作用下分别向N区和P区运动,在闭合电路中将产生输出电流IL,且负载电阻RL上产生电压降为U。显然,PN结获得的偏置电压U与光电池输出电流IL与负载电阻RL有关,即U=IL?RL,当以输出电流的IL为电流和电压的正方向时,可以得到如图(22)所示的伏安特性曲线。

图(22)图(23)光电池在不同的光强照射下可以产生不同的光电流和光生电动势,硅光电池的光照特性曲线如图(23)所示,短路电流在很大范围内与光强成线性关系,开路电压随光强变化是非线性的,并且当照度在2000lx时就趋于饱和,因此,把光电池作为测量元件时,应把它当作电流源来使用,不宜用作电压源。 硒光电池和硅光电池的光谱特性曲线如图(25)所示,不同的光电池其光谱峰值的位置不同,硅光电池的在800nm附近,硒光电池的在540nm附近,硅光电池的光谱范围很广,在450~1100nm之间,硒光电池的光谱范围为340~750nm。 图(24)图(25)光电池的温度特性主要描述光电池的开路电压和短路电流随温度变化的情况,由于它关系到应用光电池设备的温度漂移,影响到测量精度或控制精度等主要指标,光电池的温度特性如图(24)所示。开路电压随温度升高而下降的速度较快,而短路电流随温度升高而缓慢增加,因此,当使用光电池作为测量元件时,在系统设计中应考虑到温度的漂移,并采取相应的措施进行补偿。 三.实验所需部件: 两种光电池、各类光源、实验选配单元、数字电压表(4 1/2位)自备、微安表(毫安表)、激光器、照度计(用户选配)。

材料力学试题及答案)汇总

2010—2011材料力学试题及答案A 一、单选题(每小题2分,共10小题,20分) 1、 工程构件要正常安全的工作,必须满足一定的条件。下列除( )项,其他各项是必须满足的条件。 A 、强度条件 B 、刚度条件 C 、稳定性条件 D 、硬度条件 2、内力和应力的关系是( ) A 、内力大于应力 B 、内力等于应力的代数和 C 、内力是矢量,应力是标量 D 、应力是分布内力的集度 3、根据圆轴扭转时的平面假设,可以认为圆轴扭转时横截面( )。 A 、形状尺寸不变,直径线仍为直线。 B 、形状尺寸改变,直径线仍为直线。 C 、形状尺寸不变,直径线不保持直线。 D 、形状尺寸改变,直径线不保持直线。 4、建立平面弯曲正应力公式z I My =σ,需要考虑的关系有( )。 A 、平衡关系,物理关系,变形几何关系; B 、变形几何关系,物理关系,静力关系; C 、变形几何关系,平衡关系,静力关系; D 、平衡关系, 物理关系,静力关系; 5、利用积分法求梁的变形,不需要用到下面那类条件( )来确定积分常数。 A 、平衡条件。 B 、边界条件。 C 、连续性条件。 D 、光滑性条件。 6、图示交变应力的循环特征r 、平均应力m σ、应力幅度a σ分别为( )。 A -10、20、10; B 30、10、20; C 31- 、20、10; D 31-、10、20 。

7、一点的应力状态如下图所示,则其主应力1σ、2σ、3σ分别为()。 A 30MPa、100 MPa、50 MPa B 50 MPa、30MPa、-50MPa C 50 MPa、0、-50Mpa、 D -50 MPa、30MPa、50MPa 8、对于突加载的情形,系统的动荷系数为()。 A、2 B、3 C、4 D、5 9、压杆临界力的大小,()。 A 与压杆所承受的轴向压力大小有关; B 与压杆的柔度大小有关; C 与压杆材料无关; D 与压杆的柔度大小无关。 10、利用图乘法计算弹性梁或者刚架的位移,要求结构满足三个条件。以下那个条件不是必须的() A、EI为常量 B、结构轴线必须为直线。 C、M图必须是直线。 D、M和M至少有一个是直线。 二、按要求作图(共12分) 1、做梁的剪力、弯矩图(10分)

北航eda实验报告

2014-2015-2-G02A3050-1 电子电路设计训练(数字EDA部分) 实验报告 (2015年5月19日) 教学班学号姓名组长签名成绩120311王天然* 120311马璇 120312唐玥 自动化科学与电气工程学院

目录 ( 2015年5月19日).........................................错误!未定义书签。目录 .........................................................错误!未定义书签。实验一、简单组合逻辑和简单时序逻辑............................错误!未定义书签。 简单的组合逻辑设计..................................错误!未定义书签。 实验目的和内容:..................................错误!未定义书签。 实验源代码:......................................错误!未定义书签。 测试模块源代码:..................................错误!未定义书签。 简单分频时序逻辑电路的设计...........................错误!未定义书签。 实验目的和内容:..................................错误!未定义书签。 实验源代码:......................................错误!未定义书签。 实验测试源代码:..................................错误!未定义书签。 (选作)设计一个字节(8位)比较器....................错误!未定义书签。 实验内容:........................................错误!未定义书签。 实验代码:........................................错误!未定义书签。 实验测试源代码:..................................错误!未定义书签。 实验小结.............................................错误!未定义书签。实验二、条件语句和always过程块...............................错误!未定义书签。 实验任务1——利用条件语句实现计数分频时序电路.......错误!未定义书签。 实验要求.........................................错误!未定义书签。 模块的核心逻辑设计...............................错误!未定义书签。 测试程序的核心逻辑设计...........................错误!未定义书签。 仿真实验关键结果及其解释.........................错误!未定义书签。 实验任务2——用always块实现较复杂的组合逻辑电路....错误!未定义书签。

北航材料力学在线作业三 附答案

北航《材料力学》在线作业三 一、单选题(共 20 道试题,共 80 分。) 1. 对于不同柔度的塑性材料压杆,其最大临界应力将不超过材料的() A. 比列极限 B. 弹性极限 C. 屈服极限 D. 强度极限 满分:4 分 2. 在平面图形的几何性质中,()的值可正、可负、也可为零。 A. 静矩和惯性矩 B. 极惯性矩和惯性矩 C. 惯性矩和惯性积 D. 静矩和惯性积 满分:4 分 3. 图示简支梁,截面C的挠度与()成反比例关系。 A. 跨度L B. 荷载集度q C. q的作用区域a D. 材料的弹性模量E 满分:4 分 4. 某机器的圆轴用45号钢制成,在使用中发现弯曲刚度不够,改善抗弯刚度的有效措施是() A. 对轴进行调质热处理 B. 改用优质合金钢

C. 加粗轴径 D. 增加表面光洁度 满分:4 分 5. 如图所示,在平板和受啦螺栓之间垫上一个垫圈,可以提高()强度。 A. 螺栓的拉伸 B. 螺栓的剪切 C. 螺栓的挤压 D. 平板的挤压 满分:4 分 6. 表示扭转变形程度的量()。 A. 是扭转角,不是单位扭转角 B. 是单位扭转角,不是扭转角 C. 是扭转角和单位扭转角 D. 不是扭转,也不是单位扭转角 满分:4 分 7. 挠曲线近似微分方程不能用于计算()的位移。 A. 变截面直梁 B. 等截面曲梁 C. 静不定直梁 D. 薄壁截面等直梁 满分:4 分

8. 在水平压缩冲击问题中,曾得到这样一个结论,杆件体积越大,相应的冲击应力越小,该结 论() A. 只适用于等截面直杆,不适用于变截面直杆 B. 只适用于变截面直杆,不适用于等截面直杆 C. 既适用于等直杆,也适用于变截面直杆 D. 既不适用于等直杆,也不适用于变截面直杆 满分:4 分 9. 图示刚性槽内嵌入一个铝质立方块,设铝块与钢槽间既无间隙,也无摩擦,则在均布压力p 作用下铝块处于() A. 单向应力状态,单向应变状态 B. 平面应力状态,平面应变状态 C. 单向应力状态,平面应变状态 D. 平面应力状态,单向应变状态 满分:4 分 10. 非对称薄壁截面梁只发生平面弯曲、不发生扭转的横向力作用条件是()。 A. 作用面平行于形心主惯性平面 B. 作用面重合于形心主惯性平面 C. 作用面过弯曲中心 D. 作用面过弯曲中心且平行于形心主惯性平面 满分:4 分 11. 在下列关于轴向拉压杆轴力的说法中,()是错误的。 A. 拉压杆的内力只有轴力

北航电气实验FPGA实验报告

北京航空航天大学电气实验报告 FPGA实验 张天 130325班 学号:13031220

一.实验目的 略 二.实验要求 略 三.实验设备 略 四.实验内容 略 五.实验实例 1.实例6-1 思考题1:输出信号q3q2q1绑定接口电路的七段数码管或米字型数码管或LED点 阵显示? 答: 思考题2:怎样修改成4位二进制减法计数器,具有清零,启动控制功能等? 答: 思考题3:把计数器修改成2位或更多位十进制计数功能,再用七段数码管进行显示等? 答: 2.实例6-2 思考题:一位半加器电路采用VHDL语言实验 答: library ieee; use ieee.std_logic_1164.all; use iee.std_logic_unsigned.all; entity halfadd is port (a,b;in std_logic sum,carry; out std_logic) end entity halfadd; architecture halfadd is begin sum<=a and(not b)+b and (not a); carry<= a and b; end architecture halfadd; 六.实验过程 我们组做的是一个利用led点阵规律亮灭变化形成字体,并且字体产生变化,形成“自动化?”的样子,实现图片如下图:

1.实验分析: 实验设计思路: 本实验的设计思路是利用led灯的 辉光效应,利用逐行扫描,在高频情况下就会显示所有行的亮灯,进而形成汉字,并且有时钟计数程序,当时钟数字达到规定值(本实验为111111111b)时,跳转到下一个状态,显示第二个憨子。每个汉字的颜色由led灯决定,改led矩阵有红绿两种led灯,因此有红绿橙三种颜色显示。 2.实现过程 对设计思路的实现并非一帆风顺,最初编写的时候遇到了一些问题。首先,定义输入输出角是个繁琐的事情(需要定义40+次,每次必须手动),另外,在程序编写过程中,也出现了一些逻辑错误,对于错误,我们仔细逐条语句分析,最终解决了错误,解决过程中也加深了对FPGA的语言逻辑及硬件结构的理解。 七.FPGA使用心得 在学习FPGA过程中,我获得了很多收获。首先,由于有单片机的基础,上手过程并不是十分复杂,对于输入输出的理解我没有遇到太多阻碍。这次学习也验证了我具有短时间内掌握一款新型的芯片的能力。学习过程中最大的困难就是VDHL语言的编写。我们以前有c语言的基础,不过学习这种新的语言还是花出了不少时间与精力,现在可以说基本掌握的VDHL的基本写法和思路,能运 用到需要的程序中来。另外,调试的过程能极强地加大对程序的理解及逻辑的构建,在调试中,逐渐明白了以前不懂的东西,对FPGA的工作原理的理解更加透彻了。 不了解的人可能会把FPGA当做一种单片机,但其实,相比于单片机,FPGA 是有很多优势的。其无固定的硬件结构使其具有远超单片机的灵活性,另外,它的编程方法可以同步进行多个process 使其能同步处理多个进程,因此,它的

扭摆法测量切变模量和转动惯量

扭摆法测量切变模量和转动惯量

————————————————————————————————作者: ————————————————————————————————日期: ?

实验四?材料的切变模量与刚体转动惯量的测定(扭摆法) 【实验目的】 本实验通过用扭摆法测量钢丝及铜丝材料的切变模量,了解测量材料切变模量的基本方法,进一步掌握基本长度量和时间测量仪器的正确使用方法,同时还可以用扭摆法测量各种形状刚体绕同一轴转动的转动惯量以及同一刚体绕不同轴转动的转动惯量,加深对转动惯量的概念及对垂直轴定理和平行轴定理的理解。 【仪器和用具】 1、切变模量与转动惯量实验仪 2、仪器使用方法 (1)取下仪器上端夹头,并把它拧松,将钢丝一端插入夹头孔中,然后把夹头拧紧,再 把夹头放回横梁上。用同样的方法,把钢丝的下端固定在爪手的夹头上。 (2)转动上端的“扭动旋钮”(9)使爪手一端的铷铁硼小磁钢(5)对准固定在立柱上的霍耳开关(4)。同时调整霍耳开关的位置,使之高度与小磁钢一致。 (3)调节立柱的两个底脚螺丝。使小磁钢靠近霍耳开关,并使它们之间相距为8毫米左 右。 (4)转动横梁上的“标致旋钮”(8),使它的刻线与“扭动旋钮”(9)上的刻线相一致 当旋转“扭动旋钮”(9)一个角度后,即刻又恢复到起始位置。此时爪手将绕钢丝作摆动。 (5)爪手有多种功能。圆环可水平放在爪手上面作振动。也可以垂直装在爪手下面作振 动。爪手还可以安置条形棒或柱形棒作振动,以测得不同的周期值,并求出钢丝材料的切变模 图1 切变模量与转动惯量实验仪简图 (其中2表示环状刚体垂直和水平二种状态放置) 1 2 2 3 8 4 5 6 7 1、爪手 2、环状刚体 3、待测材料 4、霍耳开关 5、铷铁硼小磁钢 6、底座 7、数字式计数计时仪 8、标志旋钮 9、扭动旋钮 9

北航惯性导航综合实验四实验报告

基于运动规划的惯性导航系统动态实验 GAGGAGAGGAFFFFAFAF

二零一三年六月十日 实验4.1 惯性导航系统运动轨迹规划与设计实验一、实验目的 为进行动态下简化惯性导航算法的实验研究,进行路径和运动状态规划,以验证不同运动状态下惯导系统的性能。通过实验掌握步进电机控制方法,并产生不同运动路径和运动状态。 二、实验内容 学习利用6045B 控制板对步进电机进行控制的方法,并控制电机使运动滑轨产生定长运动和不同加速度下的定长运动。 三、实验系统组成 USB_PCL6045B 控制板(评估板)、运动滑轨和控制计算机组成。 四、实验原理 IMU安装误差系数的计算方法 GAGGAGAGGAFFFFAFAF

USB_PCL6045B 控制板采用了USB 串行总线接口通信方式,不必拆卸计算机箱就可以在台式机或笔记本电脑上进行运动控制芯片PCL6045B 的学习和评估。 USB_PCL6045B 评估板采用USB 串行总线方式实现评估板同计算机的数据交换,由评估板的FIFO 控制回路完成步进电机以及伺服电机的高速脉冲控制,任意 2 轴的圆弧插补,2-4 轴的直线插补等运动控制功能。USB_PCL6045B 评估板上配置了全部PCL6045B 芯片的外部信号接口和增量编码器信号输入接口。由 USB_PCL6045B 评估测试软件可以进行PCL6045B 芯片的主要功能的评估测试。 GAGGAGAGGAFFFFAFAF

图4-1-1USB_PCL6045B 评估板原理框图如图4-1-1 所示,CN11 接口主要用于外部电源连接,可以选择DC5V 单一电源或DC5V/24V 电源。CN12 接口是USB 信号接口,用于USB_PCL6045B 评估板同计算机的数据交换。 USB_PCL6045B 评估板已经完成对PCL6045B 芯片的底层程序开发和硬件资源与端口的驱动,并封装成156 个API 接口函数。用户可直接在VC 环境下利用API 接口函数进行编程。 五、实验内容 GAGGAGAGGAFFFFAFAF

材料切变模量的测定

材料切变模量G 的测定 材料的切变模量G ,是计算构件扭转变形的基本参数。测定切变模量的方法有很多种,本节主要介绍电测法测定切变模量G 。 一、实验目的 1.了解用电阻应变测试方法测定材料扭转时的剪切弹性模量G 的方法。 2.测定试件材料的剪切弹性模量。 3.理解剪切弹性模量的定义和变形方式。 二、实验设备 1.TS3861型静态数字应变仪一台; 2.NH-10型多功能组合实验架一台。 三、实验原理和方法 依照国标GB10128—88的规定,材料扭转时,剪应力与剪应变成线性比例关系范围内剪应力τ与剪应变γ之比称剪切弹性模量或切变 模量,以G 表示即: γ τ=G 上式中的τ和γ均可由实验测定,其方法如下。 1.τ的测定 在空心薄臂圆筒试件的前后表面A 、C 两点处 分别贴上应变片如图2-19所示,试件贴片处扭转 切应力为 图2-20 图2-19

p W T = τ 式中,p W 为圆管的抗扭截面系数。 2.γ的测定 选择全桥接线使得应变仪产生的读数应变均由扭转切应力引起,则有d ε=2r ε=4o 45ε由于薄臂圆筒上任意一点均为纯剪切应力状态如图2-20。 根据广义胡克定律和o 45-σ=1σ=τ-,o 45σ=3σ=τ,可得 []2 21)(145γττμτμτε==+=--=G E E o 因此, γ=r ε,由γ τ=G 可得 r P W T G ε= 实验采用等量逐级加载法。设各级扭矩增量为i T ?,应变仪读数增量为ri ε?,从每级加载中,可求得切变模量为 ri P i i W T G ε??= 同样采用端直法,材料的切变模量是以上i G 的算术平均值,即∑==n i i G n G 1 1。 四、实验步骤 1.组桥接线 2.采用分级加载法,先予加100N 的初荷载检查装置和应变仪是否正常工作。 3.将应变仪调零,然后以?P=100N 进行分级加载,直至max P =500N 。 4.分别记录ri ε和/ri ε,数据处理,整理实验报告。

北京航空航天大学2015春《材料力学》在线作业一满分答案

北京航空航天大学2015春《材料力学》在线作业一满分答案

15春北航《材料力学》在线作业一满分答案 一、单选题(共20道试题,共80分。) 1. 图示平面刚架AB段的内力分量()为零 M 和Q A. B. M 和N c.N和Q d.N 正确答案:A 2.在轴向拉压杆和受扭圆轴的横截面上分别产生()。 A.线位移、线位移 B.角位移、角位移

C.线位移、角位移

D.角位移、线位移 正确答案:C 3.梁的挠度是()。 A.横截面上任一点沿梁轴垂直方向的线位移 B.横截面形心沿梁轴垂直方向的线位移 C.横截面形心沿梁轴方向的线位移 D.横截面形心的位移 正确答案:B 4.中性轴是梁的()的交线。 A.纵向对称面与横截面 B.纵向对称面与中性层 C.横截面与中性层 D.横截面与顶面或底面 正确答案:C 5. 图示单元体()无线应变。 A.仅沿X方向

仅沿y方向 B. c.沿x,y两个方向 d.沿任意方向 正确答案:C 5.在横截面面积相等的条件下,()截面杆的抗扭强度最高。 A.正方形 B.矩形 C.实心圆形 D.空心圆形 正确答案:D 6.在冲击应力和变形实用计算的能量法中,因 为不计被冲击物的质量,所以计算结果与实 际情况相比,() A.冲击应力偏大,冲击变形偏小 B.冲击应力偏小,冲击变形偏大 C.冲击应力和变形均偏大 D.冲击应力和变形均偏小

正确答案:C 7.长度和受载形式均相同的两根悬臂梁,若其抗弯截面刚度EI相同,而截面形状不同, 则两梁的() A.最大正应力相等,最大挠度不等 B.最大正应力不等,最大挠度相等 C.最大正应力和最大挠度都不等 D.最大正应力和最大挠度都相等 正确答案:B 8.在三向压应力接近相等的情况下,脆性材料和塑性材料的破坏方式()。 A.分别为脆性断裂、塑性流动 B.分别为塑性流动、脆性断裂 C.都为脆性断裂 D.都为塑性流动 正确答案:D 10. 下列四根圆轴,横截面面积相同,单位长度扭转角

北航电涡流传感器实验报告

电涡流传感器实验报告 38030414蔡达 一、实验目的 1.了解电涡流传感器原理; 2.了解不同被测材料对电涡流传感器的影响。 二、实验仪器 电涡流传感器实验模块,示波器:DS5062CE,微机电源:WD990型,士12V,万用表:VC9804A型,电源连接电缆,螺旋测微仪 三、实验原理 电涡流传感器由平面线圈和金属涡流片组成,当线圈中通以高频交变电流后,在与其平行的金属片上会感应产生电涡流,电涡流的大小影响线圈的阻抗Z,而涡流的大小与金属涡流片的电阻率、导磁率、厚度、温度以及与线圈的距离X有关,当平面线圈、被测体(涡流片)、激励源确定,并保持环境温度不变,阻抗Z只与距离X有关,将阻抗变化转为电压信号V输出,则输出电压是距离X的单值函数。

四. 实验数据及处理 1.铁片 0.5 1 1.52 2.5 3 3.5 电涡流传感器电压位移曲线—铁片 电压/V 位移/mm

0.5 1 1.5 2 2.53 3.5 电涡流传感器电压位移拟合曲线—铁片 电压/V 位移/mm 其线性工作区为0.6——3.4,对该段利用polyfit 进行函数拟合,可得V=-1.0488X-1.2465 2.铜片

电涡流传感器电压位移曲线—铜片 电压/V 位移/mm 2.2 2.4 2.6 2.83 3.2 3.4 3.6 -6-5.95-5.9-5.85 -5.8-5.75-5.7 -5.65-5.6-5.55-5.5电涡流传感器电压位移拟合曲线—铜片 电压/V 位移/mm 其线性工作区为2.4——3.4,对该段利用polyfit 进行函数拟合,可得V= -0.4500X -4.4667

切变模量的测量(5)

切变模量的测量实验题目:切变模量的测量 实验目的:用扭摆来测量金属丝的切变模量 实验器材:秒表,扭摆,螺旋测微器,游标卡尺,米尺,金属环 实验原理: 实验对象是一根上下均匀而细长的钢丝,从几何上说就是 个如图532-1所示的细长的圆柱体,其半径为R,长度为L。将 其上端固定,而使其下端面发生扭转。扭转力矩使圆柱体各截面小体积 元均发生切应变。在弹性限度内,切应变丫正比于切应力T G (1) r d R - (2) dl d (3) dl 2 d 2 G 3d d dl R 3 d 4 d M 2 G d GR (4) 0 dl 2 dl 这就是剪切胡克定律,比例系数G即为材料的切变模量。 4 M -GR - (5) 2 L 2ML R4 (6) 2DL "R4 I dt2(7) (8) (9) I

由式(11 )、(12)可得 实验内容 本实验用扭摆法测量钢丝的切变模量,扭摆装置如图 532-3所示。 1、 装置扭摆,使钢丝与作为扭摆的圆盘面垂直,圆环应能方便地置于圆 盘上。 2、 用螺旋测微器测钢丝直径,用游标卡尺测环的内外径,用米尺测钢丝 的有效长度。 3、 写出相对误差公式,据此估算应测多少个周期较合适。 4、计算钢丝的切变模量 G 和扭转模量D ,分析误差。 数据分析: 1 2 3 4 5 6 7 8 9 10 d (d) U A (d) R 0.781 0.778 0.780 0.776 0.778 0.782 0.779 0.781 0.782 0.782 0.7799 0.00208 0.000657 于是 R o.3895mm = 3.895 x 1o -2 cm (R) = o.oo1o4mm = 1.04 x 1o -4 cm U A (R) 3.29 x 10-4mm = 3.29 x 10-5 cm d 2 dt 2 I o T o ■Io I l (10) (11) (12) I I _JoL_ 1 0 I 1 _2 T 2 I 1 T 2 2 m(r 内 r 外) 4 Lm(r 内 r 外) G 4~ R 4 (T 12 T o 2) ffl H jl.7 3 pl ■的怙肖示庸国 ■ 上 J -

相关文档
相关文档 最新文档