文档库 最新最全的文档下载
当前位置:文档库 › 浅谈三人表决器的设计方法

浅谈三人表决器的设计方法

浅谈三人表决器的设计方法
浅谈三人表决器的设计方法

浅谈三人表决器的设计方法

李静

【摘要】在《数字电路》的学习中,组合逻辑电路的设计是一部分重要的知识,它以前一章的组合逻辑电路分析为依托,为后续的时序逻辑电路分析和设计打下坚实的基础。文章以三人表决器为例介绍了三种设计方法,以便学生熟悉常见组合逻辑电路的特点及应用。

【期刊名称】科技创新与应用

【年(卷),期】2014(000)025

【总页数】1

【关键词】组合逻辑电路设计;时序逻辑电路;方法

组合逻辑电路是数字电路中最简单的一类电路,其在功能上无记忆,结构上无反馈网路。即电路任一时刻的输出状态只取决于该时刻各输入状态的组合,而与电路的原状态无关。很多中规模组件都是组合逻辑电路,常用的有译码器、编码器、数据选择器等等。

1 组合逻辑电路的设计方法

组合逻辑电路的设计就是根据给出的实际问题,画出能够实现这一逻辑功能的数字电路。它是组合逻辑电路分析的逆过程。一般应以电路尽可能简单、所用器件最少为目标。下面以三人表决器(其中一人有否决权,按照少数服从多数)为例,分别介绍三种能够实现这一功能的电路设计。

1.1 采用基本逻辑门电路进行设计

组合逻辑电路的基本设计步骤如下:

(1)根据条件与结果的因果关系确定输入输出变量,分别用0和1表示信号

《三人表决器设计与制作》教学设计

五、教学策略选择(说明主要采用的教学方法、手段和活动设计等) 教法:项目教学法 学法:小组学习法 六、教学过程(说明本节课教学的环节、具体的活动、所需的资源支持及其主要环节设计意图) 教学环节教师活动预设学生活动设计意图 一、(2分钟)情景创设:在“中国达人 秀”的比赛现场,有3名裁判,当至少有两名 裁判同意时,选手才可以顺利晋级。请根据要 求设计出一个逻辑电路,来实现这一功能。 创设情境, 增强学生 代入感和 参与度 二、(5分钟)任务分析 引导学生分析任务: 三个输入量信息(评委)有两种情况同 意和不同意一个输出量(表决结果)也有 两种情况:晋级和淘汰 也就是要实现少数服从多数功能,大家想 想用我们前面所学的基本门电路能实现这个功 评委 A 评委 B 评委 C 选手 结果 ×××淘汰 ××√淘汰 ×√×淘汰 ×√√晋级 √××淘汰 √×√晋级 √√×晋级 √√√晋级 引导学生分析 任务,提出需 求。 复习回顾前节 知识点,同时 分析其特点, 提出问题:现 有知识储备能 否设计出满足 要求的电路?

能? 三、(3分钟)复习回顾: 1.基本门电路的逻辑功能? 2.复合逻辑门电路的逻辑功能? 提出需求:需要新的知识储备,引入组合逻辑电路的概念 四、(10分钟)组合逻辑电路 把我们熟悉的与门,或门,非门等逻辑门组合在一起构成的电路组合逻辑电路, 这个电路能不能从而实现少数服从多数的功能呢?我们就需要分析组合逻辑电路的特点。 特点是:结构上,在输入和输出之间只有信号的正向传输通路,没有从输出到输入的反馈回路;在功能上,任意时刻组合逻辑电路的输出状态仅取决于该时刻的输入信号,与电路原来的状态无关。要设计的三人表决器就要先学习组合逻辑电路的分析与设计。 任务一:组合逻辑电路的概念? 任务二:组合逻辑电路的特点 五、(10分钟)组合逻辑电路的分析 组合逻辑电路的分析是指基于逻辑电路图,分析明确该电路的基本功能的过程。组合逻辑电路的分析一般可按如图所示步骤进行。 例:分析如图所示三人表决器电路的逻辑功能。 解:第一步 根据电路逐级写出逻辑表达式 AB Y 1 任务三:组合逻辑电路的分析 学生分小组逐个任务进行自学,教师引导学生学习。

四人表决器课程设计

电子课程设计报告 题目:设计四人表决器 课程:电子技术课程设计 学生姓名: 学生学号: 1414020221 年级: 2014级 专业:电子信息工程 班级: 2班 指导教师:赵旺 电子工程学院制 2016年5月

设计四人表决器 学生:任春晖 指导教师:赵旺 电子工程学院电子信息工程 1设计的任务与要求 1.1课程设计的任务 1.综合应用数字电路知识设计一个四电路表决器。了解各种元器件的原理及其应用。 2.深入了解表决器的工作原理。 3.掌握multisim软件的操作并对设计进行仿真。 4.锻炼自己的动手能力和实际解决问题的能力。 5.通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握四人表决器的设计方法。 1.2课程设计的要求 当输入端有三个或三个以上的高电平,出入端才为高电平(即灯亮,表决通过)。否则灯不亮(表决不通过)。 2四人表决器方案制定 2.1表决电路设计的原理 使用中、小规模集成电路来设计组合电路是最常见的逻辑电路设计方法。设计组合电路的一般步骤如图一所示。 图一组合逻辑电路设计流程图

根据设计任务的要求建立输入、输出变量,并列出真值表。然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。最后,用实验来验证设计的正确性。 2.2表决电路的设计方案 设计中我们设A、B、C、D为表决人,若它们中有三个或三个以上同意(即为高电平1),则表决结果通过(即表决结果F为高电平1),否则表决不通过(即F为低电平0)。 ①根据步骤一中所述作出真值表: 表1 真值表

三人表决器EDA实验

三人表决器 1、实验目的 掌握在Quartus Ⅱ开发环境下,运用硬件描述语言输入法对“三人表决器”进行设计输入、编译、调试和仿真的方法。 “三人表决器”电路的输入为SW1、SW2 和SW3,输出为L3 和L4,位宽均为1 位。当SW1、SW2 和SW3中有超过2个以上的输入为1时,要求熟悉整个设计流程,从打开、建立文档、编辑、编译、建立激励信号波形及最后仿真的整个过程。 2、实验内容 (1)在Quartus Ⅱ开发环境下,建立工程,并将三人表决器的硬件描述语言程序输入; (2)完成编译、调试和仿真,分析实验仿真结果,并判断其正确性。 3、教学形式 (1)本实验为验证型实验,学生在实验前预习实验指导书; (2)指导教师应该在实验前阐述实验目的、内容、方法和步骤,并且就实验中的难点和注意事项进行一定的说明; (3)实验结束之后,学生按照实验报告的书写格式自行完成实验报告。 4、应达到的实验能力标准 (1)能熟练地在Quartus Ⅱ开发环境下,建立工程,并将三人表决器的硬件描述语言程序输入; (2)能熟练地进行编译和调试,排除编译后的错误; (3)正确地在仿真之前进行功能仿真的设置,并熟练地完成功能仿真; (4)掌握基于ACEX1K系列EP1K30TC144-3器件的时序仿真方法。 5、实验原理 三个人分别用拨位开关SW1、SW2、SW3 来表示自己的意愿,如果对某决议同意,各人就把自己的拨位开关拨到高电平,不同意就把自己的拨位开关拨到低电平。表决结果用LED (高电平亮)显示,如果决议通过那么实验板上L3 灯亮;如果不通过那么实验板上L3 灯不亮;如果对某个决议有任意二到三人同意,那么此决议通过,L3 亮;如果对某个决议只有一个人或没人同意,那么此决议不通过,L3 灯不亮。 6、实验步骤 双击Quartus4. 2 图标,启动Quartus4. 2,并新建工程

三人表决器实验

三人表决器实验 我们实验采取3种输入方式:原理图方式,VHDL方式,VerilogHDL.你可以只看一种.下面我分别一一介绍 三人表决器的功能描述 三个人分别用手指拨动开关SW1、SW2、SW3来表示自己的意愿,如果对某决议同意,各人就把自己的指拨开关拨到高电平(上方),不同意就把自己的指拨开关拨到低电平(下方)。表决结果用LED(高电平亮)显示,如果决议通过那么实验板上L2(黄灯)亮;如果不通过那么实验板上L1(红灯)亮;如果对某个决议有任意二到三人同意,那么此决议通过,L2亮;如果对某个决议只有一个人或没人同意,那么此决议不通过,L1亮。 采用原理图设计三人表决器 我们根据三人表决器的直值表,可以通过卡诺图化简可以得到: L2=SW1SW2+SW1SW3+SW2SW3 L1=_L2 那么我们可以在MAX+plusII中用原理图实现上面的三人表决器 ~ 下面仅把和VHDL不同的详细写下,相同或基本相同的就一带而过: (1)打开MAX+plusII (2)新建一个图形文件:File菜单>new 新建文件时选择Graphic Editor file

点OK (3)输入设计文件 我们现在在图形文件中输入电路,我们这个电路需要AND2、OR3、NOT三个逻辑门电路和输入输出端,你可以 Symbol ->Enter Symbol(或者双击空白处) 弹出窗口: %

在Symbol Name中输入and2,点OK 同样可以加入or3、input、output、not 对input、output,鼠标左键双击PIN_NAME,那么PIN_NAME被选中,并且变黑,然后输入你要改的名字,如SW1 把元件拖动到合适位置,将光标放到元件的引线出,可以发现光标变为十字星,此时摁住左键就可以进行连线。

数字电路——三人表决器

数电设计实验报告 三 人 表 决 器

[设计题目] 三人表决器的设计与装配 [设计要求] 1.熟悉各元件的性能和设置元件的参数。 2.对电路图的原理进行分析,并对原理图进行改良,弄清楚电路的工作原理。 3. 元件安装符合工艺要求,既考虑其性能又应美观整齐。 焊接元件要注意焊点的圆润。 4.对元件的性能进行评估和替换、用性能和使用范围更好, 更常用的元件进行替换,使自己实际的元件更接近实际使用。 5 .学习数字逻辑电路的设计方法。 6. 熟知74LS138和74LS20芯片各引脚的功能及内部结构。 7.学会使用74LS138和74LS20芯片。 8.学会真值表与逻辑表达式及卡诺图之间的转换,能根据 化简后的逻辑表达式画出逻辑电路。 [电路介绍] 三人表决器主要由一个3-8位译码器(74LS138)和2个4输入与非门(74LS20)组成。通过三个按钮接受用户

输入。按钮按下表示同意,不按下表是否决,当没有人按下按钮时,或只有一个人按下按钮时,例如,S1按下,而S2和S0未按下,则红灯亮,绿灯灭,蜂鸣器无声音,表示否决,当有两个人及以上的人按下按钮后,例如,S1和S2按下,则红灯灭,绿灯亮,蜂鸣器发音,表示通过。 [电路原理] [元器件清单]

[电路真值表] 注(1表示同意、灯亮) (0表示不同意、灯不亮)

[PC板及实物图]

[电路的调试:] 电路的结果分析:在焊接是尽可能避免线路的交叉,不要把焊电焊的过大,相邻焊盘绝缘的一定不能连接在一起。 [设计心得体会] 通过这次实验,我从中收获了很多,懂得了对电路的进一步分析,也从而巩固和提高电路的设计能力、元件的选择及检测的能力等,同时也对我们所学的知识的掌握,通过本次实验我学会了逻辑表达式图之间的转换,通过检测电路使我们对电路有了更深的了解.

三人表决器实验报告

电子技术与仿真 项目报告 项目名称:三人表决器的制作 专业班级:电气1 2 1 姓名:刘斌 学号: 2 4 指导教师:张琴 江西工业工程职业技术学院电工电子实训中心

一、项目目的 正确理解数字电路的要求,能知道与门、或门、非门的含义;做到了解要用到的每个芯片的引脚是什么门电路,可以去图书馆查阅书籍也可上网去阅读相关的网页资料。做到能真正了解电路的构造原理,这样才可以更好学好这门电路的元器件的应用。 二、项目要求 1)判断正确的引脚位置; 2)理解数字电路的原理,掌握操作步骤,能正确安装所选定的电路; 3)掌握测试仪表仪器检测原件的使用及调整; 4)会根据测试结果分析故障产生的原因; 5)会利用原理图纸,判断具体故障的原因; 6)必须得出实验的仿真结果; 7)根据自己所仿真的电路原理图画出实物装配图。 三、项目内容 1、原理图 2、工作原理介绍 电路由74LS08的三个与门电路和74LS32两个或门电路构成,当A、B、C中任意两人按下按钮后,工作电路向74ls08中任意一个与门电路输入端输入两个高电平,输出端Y输入进74ls32任意一个或门电路中;电路只要满足一个条件即输出端有电压输

出;所以发光二极管能点亮。 3、元件清单 4、芯片 ① 74LS08 实物图 引脚图 真值表 元件序号 主要参数 数 量 R1 1K Ω 3 R2 360Ω 1 U1 74LS08 1 U2 74LS32 1 按钮开关 3 发光二极管 1 A B Y 0 0 0 0 1 0 1 0 0 1 1 1

实物图引脚图 真值表 5、电路板安装 A B Y 000 011 101 111

四人表决器电路设计资料

{ 名称:综合训练项目一题目:四人表决器电路设计 ? 专业: 班级: 姓名: 学号: ` 辽宁工程技术大学 《数字电子技术》 * 综合训练项目一成绩评定表

《综合训练项目一》任务书 一、综合训练题目 四人表决器电路设计 二、目的和要求 1、目的:会运用不同类型门电路或中、小规模集成电路,设计简单组合电路,学习仿真软件应用,学习word文档制作。 2、要求:设计一个四人表决器,按少数服从多数规则,三人或三人以上同意,则通过。利用绿、红两种颜色灯代表是否通过,并用数码管显示同意人数;用门电路或中规模集成电路译码器、数选器、加法器等完成控制任务;有研究方案比较,能够应用相关仿真软件绘制逻辑图,用仿真软件验证电路功能。 成果形式:每小组提交综合训练报告一份;现场或视频答辩;有能力的同学制作实物。 上交时间:在讲授完第四章中的组合电路设计知识点后的一周之内提交。 三、训练计划 项目综合训练课下1周,课上1节。 第1天:针对选题查资料,确定整体设计方案; 第2~3天:学习Multisim仿真软件,熟悉Visio绘图软件。 第4~5天:论证电路设计,利用仿真软件仿真设计电路,观察能否达到设计要求;; 第6~7天:按格式要求编写整理设计报告。 四、设计要求 1. 每名同学按照自己分配的任务要求完成训练。 2. 绘图统一采用Visio2010。 指导教师: 日期:2017 年月 日

四人表决器在我们生活中应用非常广泛,比如表决等。掌握四人表决器的工作原理,对我们理解和掌握表决器具有重要意义。 本次的课程设计就是利用数字电子技术的知识做一个四人表决器。在mulitisim软件中,利用集成电路,通过四片74LS183和一片74LS48芯片连接到LED数码管上,一个显示赞成人数;再通过与门和非门,实现通过与否的判决。经过仿真,符合四人表决的功能。 关键词:四人表决器;74LS183;74LS84;LED数码管

课程设计试验报告三人表决器

武汉纺织大学《数字逻辑》课程设计报告 题目:三裁判表决器 院系:数学与计算机学院 专业班级:计科094 学号:0904681223 学生姓名:李勤 指导教师:朱勇 2011年 5 月20 日

一、 引言 通过对传统数字电路的设计,掌握对数字逻辑设计概念的熟悉。 二、系统介绍: 主要仪器是TOS-2数字电路实验系统。选用的芯片是74LS151 8-1数据选择器。通过设计好的逻辑表达式,在TOS--2数字电路上选择好个个需要连入的拐脚,进行连线。实现三人表决器的功能。 三、设计任务及设计原理: 引脚图 功能表 设计有三个变量输入A ,B C ,二个输出 W ,F,其中F=W , 逻辑表达式: F=ABC C AB C B A BC A +++。A,B,C 通过三个开关相接,把D0---D7设置好之后, 16 15 14 13 12 11 10 9 74LS151 1 2 3 4 5 6 7 8V CC D 4 D 5 D 6 D 7 A 0 A 1 A 2D 3 D 2 D 1 D 0 Y Y S GND 输 入输 出D A 2 A 1 A 0 S Y Y × × × × 1D 0 0 0 0 0 D 1 0 0 1 0 D 2 0 1 0 0D 3 0 1 1 0D 4 1 0 0 0D 5 1 0 1 0D 6 1 1 0 0D 7 1 1 1 00 1D 0 0D D 1 1D D 2 2D D 3 3D D 4 4D D 5 5D D 6 6D D 7 7D

就可以通过调节开关来输入。输出接到一个LED灯,如果通过,那么灯就亮,否则的话,灭。 74LS151 0 G A A B B C C D0 D1 Y Y 0 D3 D3 W D4 1 D5 D6 D7 方法之一 四、代码清单:(机房答辩,提交代码) 只需要连线,无代码。 五、程序调试心得体会: 第一次线连接好之后,首先试验的是逻辑功能的正确性。用了两个LED灯,来作为输出,三个开关组合成A,B,C的八种状态。确保正确性之后,在进行的验证三人表决器。试验顺利,没有遇到困难。 六、参考文献: [1] 朱勇,数字逻辑,中国铁道出版社,2007.12 [2] 夏宇闻,Verilog DHL 入门,北京航空大学出版社,2007.5 七、致谢:感谢同学袁盼的一起合作,在连线过程中遇到问题时,一起商量。

三人表决器设计

西安电子科技大学 《数字电子技术》课程设计 题目三人表决器设计 学生姓名 专业班级通信工程 学号2011 院(系)信息工程学院 指导教师邓国辉 完成时间 2013年11月29日 手机号码

目录 1课程设计的目的 (1) 2课程设计的任务与要求 (1) 3设计方案与论证 (2) 3.2 表决方案的选择 (2) 3.3显示方案的选择 (3) 4设计原理及功能说明 (3) 4.1 三人表决器的原理框图 (3) 4.2 三人表决器基本原理图 (3) 5单元电路的设计 (4) 5.1 触发电路设计 (4) 5.2 表决电路设计 (5) 5.3 计数部分电路设计 (6) 6硬件的制作与调试 (7) 6.1 硬件的制作 (7) 6.2 硬件的调试 (8) 7总结 (8) 参考文献 (10)

附录1:总体电路原理图 (11) 附录2:元器件清单 (12)

1课程设计的目的 1、记住逻辑代数的基本定律和常用公式; 2、会用公式法和卡诺图法化简逻辑函数; 3、会识别、选购常用电路元、器件,掌握常用电路元器件的检测方法; 4、掌握逻辑门电路的逻辑功能与主要参数的测试和使用方法; 5、能合理利用门电路设计表决器; 6、能熟练掌握电路原理,及时调试和排除故障。 2课程设计的任务与要求 1、熟悉各集成逻辑元件的性能和设置元件的参数。 2、对电路图的原理进行分析,并对原理图进行改良,用仿真软件进行仿真调试,弄清楚电路的工作原理。 3、元件安装符合工艺要求,既考虑其性能又应美观整齐。焊接元件要注意焊点的圆润。 4、对元件的性能进行评估和替换、用性能和使用范围更好,更常用的元件进行替换,使自己实际的元件更接近实际使用。 5、学习数字逻辑电路的设计方法。 6、熟知74ls74、74ls08、74HC4075、74ls373各引脚的功能及内部结构。 7、学会使用各集成芯片组成逻辑电路。 8、学会真值表与逻辑表达式及的转换,能根据化简后的逻辑表达式画出逻辑电路。 9、完成“三人表决器”的逻辑设计,及组合电路的设计。

三人表决器电路的设计与安装

三人表决器电路的设计与安装

一、实验目的 正确理解数字电路的要求,能知道与门、或门、非门的含义;做到了解要用到的每个芯片是什么门集成电路以及芯片的各个引脚都具有哪些功能,我们可以去图书馆查阅书籍也可上网去阅读相关的网页资料。做到能真正了解数字电路的构造原理,这样我们才可以更好地焊好我们想要实现的功能产品以及学好有关数字电路方面的知识。 二、实验要求 1)判断正确的引脚位置; 2)理解数字电路的原理,掌握操作步骤,能正确安装所选定的电路; 3)掌握测试仪表仪器检测原件的使用及调整; 4)会根据测试结果分析故障产生的原因; 5)会利用原理图纸,判断具体故障的原因; 6)会根据自己所仿真的电路原理图画出实物装配图。 三、实验所需元件清单如下表所示: 序号种类名称规格型号数量 1 U1 芯片74LS00 1 2 U2 芯片74LS10 1 3 R1、R2、R3 电阻1KΩ 3 4 R4 电阻300Ω 1 5 S1、S2、S3 按钮普通 3 6 LED 发光二极管单色发光二级管 1 四、实验产品所需主要芯片介绍 74LS00芯片是常用的具有四组2输入端的与非门集成电路, 74LS10芯片是常用的具有三组3输入端的与非门集成电路,他们的作用都是实现一个与非门。其引脚排列分别如下图所示。

74LS00管脚排列图 74LS10管脚排列图 五、三人表决器逻辑电路设计 5.1、设计要求:当A、B、C三人表决某个提案时,两人或两人以上同意,提案通过,否则提案不通过。用与非门实现电路。 设A、B、C三个人为输入变量,同意提案时用输入1表示,不同意时用输入0表示;表决结果Y为输出变量,提案通过用输出1表示,提案不通过用输出0表示。由此可列出真值表,如下表所示。

组合逻辑电路的设计教案

2015年全省技工教育和职业培训 参评教案参评组别:B组 专业分类:电工电子 课程名称:电子技术基础 组合逻辑电路的设计 作者姓名:徐崇丽 单位:山东工程技师学院 通讯地址:_聊城市湖南西路8号 联系电话:0635-8426630

科目电子技术基础 授课 日期 2015.4.25 课 时 2 章节名称7-4 组合逻辑电路的设计班级鲁化电工班1401 授 课方式讲授法、启发法、练习法、演示法 作业 题数 1 作 业 拟 用 时 间 30 分钟 教学目的只有一堂让自己感动的课,才能感染你的学生 认知目标 掌握组合逻辑电路的设计步骤 能力目标 能够根据控制要求进行组合电路的设计 选 用 教 具 挂 图 1、投影仪 2、电子课件 3、教学电脑 4、黑板 重点 1、组合逻辑电路的设计步骤; 2、逻辑表达式的化简; 3、由最简表达式绘制组合逻辑电路 图 难 点 1、将控制要求转换成真值表 2、卡诺图化简表达式 教 学 回 顾 组合逻辑电路的分析步骤 说明 学生在学习了《组合逻辑电路的分析》基础上,对逻辑代数的化简、真值表、逻辑门电路等步骤都有了相应程度的理解,鉴于学生在以上环节反映出的问题,在新的课程讲解中将再次强调,借助练习帮助学生更好地掌握。

教学过程 时间分配教学内容 教学 过程 教学 方法 任务目标︵2分钟︶ 任务目标:三人表决器设计 课题引入:有一场卡拉OK比赛,学校请了三个评委,如果你是电 子设计师,怎么设计一个电路能够根据“少数服从多数”的原则让评委 对选手进行评判呢? 情境 导入 引起 注意 鼓 励 法 知识准备(约5分钟) 【例】试分析下列电路的逻辑功能。(板书步骤) 一、电路 二、表达式,化简得最简表达式 由图,可得ABC P=,P C P B P A L? + ? + ? = 化简,得C B B A L⊕ + ⊕ = 三、真值表 四、功能 “不一致”电路。 积极思考:功能电路 A B C L A B C L 0 0 0 0 1 0 0 1 0 0 1 1 1 0 1 1 0 1 0 1 1 1 0 1 0 1 1 1 1 1 1 0 老师 引导 学生 讨论 多媒 体演 示 板书 结果 讨 论 法 演 示 法 归 纳 法 & & & & ≥1 A B C L P

三人表决器报告

项目一:三人表决器的设计与装配 实验报告 姓名 学号 专业数字电子技术 指导教师 实训时间 9月26日 电子信息工程系 2011-2012学年第一学期

设计题目] 项目一:三人表决权器的设计与装配 一、[设计要求] 1.对电路图的原理进行分析,并对原理图进行改良。用Mutisim软件中进行仿真调试,弄清楚电路的工作原理。 2.熟悉各元件的性能和设置元件的参数。 3. 对元件的性能进行评估和替换、用性能和使用范围更好,更常用的元件进行替换,使自己实际的元件更接近实际使用。 4. 元件安装符合工艺要求,既考虑其性能又应美观整齐。焊接元件要注意焊点的圆润。 5.学习数字逻辑电路的设计方法。 6.培养自己的分析故障和解决问题的能力。 二、元件清单: 序号元件参数数量 1 电阻R 100Ω4个 2 按钮5v 3个 4 74LS00芯片2个 5 发光二极管1个 6 电源1个 2、元件的检测 电阻的检测:先用色环法对电阻进行读数,然后再用万用表打到相应的电阻档对电阻进行进一步的检测。 发光二极管的检测:将万用表打至欧姆档×10K,万用表的表笔对发光二极管进行反接,如果发光二极管会发光,那么说明该发光二极管是好的。 按钮的检测:将万用表打至欧姆档×1K,用万用表的表笔接在按钮的两端,如果万用表打到无穷大,按下按钮万用表的指针达到零,那么说明该按钮接通了,也是好的;反之是坏的。

三、人表决器的仿真图: (a )74LS00芯片和74LS20的原理图: U1A 74LS00D U2B 74LS00D U3C 74LS00D U4A 7410N J1 Key = A J2Key = A J3Key = A R11k|?R21k|?R31k|? R4100|? R5100|?LED1 LED2VCC 5V 1 2 3 VCC 4 5 6 8 U5A 7404N 7 9 10 J1Key = A J2Key = A J3 Key = A R11k|?R21k|?R31k|? R4100|? R5 100|?LED1 LED2 VCC 5V U5A 7404N 109 7 U6 74LS00N 1A 1B 1Y 2A 2B 2Y G N D 3Y 3B 3A 4Y 4B 4A V C C U2 74LS20N 1A 1B N C 1C 1D 1Y G N D 2Y 2D 2C N C 12B 2A V C C 4 5 6 11VCC 3 2 1

三人表决器电路的设计与安装

电子产品制作与调试 实验报告 报告名称:三人表决器电路的设计与安装专业班级:光伏121 姓名:陈志坚 学号:20120160 指导教师:舒为清 江西工业工程职业技术学院电工电子实训中心

一、实验目的 正确理解数字电路的要求,能知道与门、或门、非门的含义;做到了解要用到的每个芯片是什么门集成电路以及芯片的各个引脚都具有哪些功能,我们可以去图书馆查阅书籍也可上网去阅读相关的网页资料。做到能真正了解数字电路的构造原理,这样我们才可以更好地焊好我们想要实现的功能产品以及学好有关数字电路方面的知识。 二、实验要求 1)判断正确的引脚位置; 2)理解数字电路的原理,掌握操作步骤,能正确安装所选定的电路; 3)掌握测试仪表仪器检测原件的使用及调整; 4)会根据测试结果分析故障产生的原因; 5)会利用原理图纸,判断具体故障的原因; 6)会根据自己所仿真的电路原理图画出实物装配图。 三、实验所需元件清单如下表所示: 序号种类名称规格型号数量 1 U1 芯片74LS00 1 2 U2 芯片74LS10 1 3 R1、R2、R3 电阻1KΩ 3 4 R4 电阻300Ω 1 5 S1、S2、S3 按钮普通 3 6 LED 发光二极管单色发光二级管 1 四、实验产品所需主要芯片介绍 74LS00芯片是常用的具有四组2输入端的与非门集成电路, 74LS10芯片是常用的具有三组3输入端的与非门集成电路,他们的作用都是实现一个与非门。其引脚排列分别如下图所示。

74LS00管脚排列图 74LS10管脚排列图 五、三人表决器逻辑电路设计 5.1、设计要求:当A、B、C三人表决某个提案时,两人或两人以上同意,提案通过,否则提案不通过。用与非门实现电路。 设A、B、C三个人为输入变量,同意提案时用输入1表示,不同意时用输入0表示;表决结果Y为输出变量,提案通过用输出1表示,提案不通过用输出0表示。由此可列出真值表,如下表所示。

三人表决器仿真实验分析

三人表决器

摘要 本次设计的三人表决器,是投票系统中的客户端,是一种代表投票或举手表决的表决装置。表决时,与会的有关人员只要按动各自表决器上“赞成”“反对”“弃权”的某一按钮,荧光屏上即显示出表决结果。在三人表决器中三个人分别用手指拨动开关S W1、S W2、S W3来表示自己的意愿,如果对某决议同意,各人就把自己的指拨开关拨到高电平(上方),不同意就把自己的指拨开关拨到低电平(下方)。表决结果用L E D(高电平亮)显示,如果决议通过那么实验板上L2亮;如果不通过那么实验板上L1亮;如果对某个决议有任意二到三人同意,那么此决议通过,L2亮;如果对某个决议只有一个人或没人同意,那么此决议不通过,L1亮。

一.任务 设计三人表决器电路,并在仿真软件上验证电路 的正确性。 二.目标 掌握三人表决器电路的结构。 学会仿真软件数字部分的操作。 三.要求 1复习三人表决器电路的设计步骤。 2熟悉用集成电路的引脚位置及各引脚用途。 3使用TTL门电路时,一定要正确连接电源端和接地端 4按集成电路引脚图正确接线,芯片电源引脚不要接错,以免损坏芯片,影响实验正常进行。 四.实训工具及设备 电脑一台;Mult isi m软件

五.仿真电路图 六.设计的主要内容: 按照设计题目,根据所学的组合逻辑所学的知识及数字电路和嵌入式的知识完成三人表决器的设计,使之能够满足表决时少数服从多数的表决规则,根据逻辑真值表和逻辑表达式完成表决功能。

七.三人表决器概述: 1.三人表决器的功能描述 三个人分别用手指拨动开关S W1、S W2、S W3来表示自己的意愿,如果对某决议同意,各人就把自己的指拨开关拨到高电平(上方),不同意就把自己的指拨开关拨到低电平(下方)。表决结果用LE D (高电平亮)显示,如果决议通过那么实验板上L2亮;如果不通过那么实验板上L1亮;如果对某个决议有任意二到三人同意,那么此决议通过,L2亮;如果对某个决议只有一个人或没人同意,那么此决议不通过,L1亮 2.三人表决器”的逻辑功能 表决结果与多数人意见相同。 设X0、X1、X2为三个人(输入逻辑变量),赞成为1,不赞成为0;Y0为表决结果(输出逻辑变量),多数赞成Y0为1,否则,Y0为0.其真值表如下图所示。 表1“三人表决器”真值表输入逻辑变量输出逻辑变量 其中X0,X1,X2为输入表决信号即为s w1,s w2,s w3指示灯,Y0为输出结果即L E D灯 由真值表写出逻辑表达式并化简得:Y0=X0*X1+X0*X2+X1*X2

三人表决器

三人表决器 1.任务: 使用verilog语言设计一个三人表决器,其功能是:有3个输入,当有输入时,产生输出的值会显示在数码管中,例如,有1人表示同意时,数码管显示1, 2人同意时,数码管显示2。 2.设计流程图: 3.源程序设计: module sanrenbiaojue ( key1,key2,key3,led1,led2,led3,SEG_DATE,SEG_EN ); input key1; input key2; input key3; output led1; output led2; output led3; output [3:0] SEG_EN; output reg [6:0] SEG_DATE; //装载3个输入的值 parameterSEG_NUMO = 7'h3f, SEG_NUM1 = 7'h06, //数码管显示1 SEG_NUM2 = 7'h5b, //数码管显示2 SEG_NUM3 = 7'h4f; //数码管显示3

always @ (*) begin case({key1,key2,key3}) //检测按键KEY3,KEY2,KEY1是否按下,按下为1,悬空为0 3'b000:SEG_DA TE=SEG_NUMO; //当有0个按键按下时,数码管就显示数字0 3'b001:SEG_DA TE=SEG_NUM1; //当有1个按键按下时,数码管就显示数字1 3'b010:SEG_DA TE=SEG_NUM1; 3'b011:SEG_DATE=SEG_NUM2; 3'b100:SEG_DA TE=SEG_NUM1; 3'b101:SEG_DA TE=SEG_NUM2; 3'b110:SEG_DATE=SEG_NUM2; 3'b111:SEG_DATE=SEG_NUM3; default:SEG_DA TE=SEG_NUMO; endcase end assign led1=!key1; //当按键1按下其对应的D1将会亮起 assign led2=!key2; //当按键2按下其对应的D2将会亮起 assign led3=!key3; //当按键3按下其对应的D3将会亮起 assign SEG_EN=4'b0111; // SEG1-SEG3熄灭,SEG4点亮 endmodule 4.TestBench代码: `timescale 1 ps/ 1 ps module sanrenbiaojue_vlg_tstfirst(); // constants // general purpose registers reg eachvec; // test vector input registers reg key1; reg key2; reg key3; // wires wire [6:0] SEG_DA TE; wire [3:0] SEG_EN; wire led1; wire led2; wire led3; // assign statements (if any) sanrenbiaojue i1 (

三人表决器

项目一:三人表决权器的设计与装配 实训报告 姓名高君 学号 20100962 专业数字电子技术 指导教师林梅 实训时间 9月27日 电子信息工程系 2011-2012学年第一学期 [设计题目] 项目一:三人表决器的设计与装配 [设计要求] 1.熟悉各元件的性能和设置元件的参数。 2.对电路图的原理进行分析,并对原理图进行改良。用Mutisim软件中进行仿真调试,弄清楚电路的工作原理。 3. 元件安装符合工艺要求,既考虑其性能又应美观整齐。焊接元件要注意焊点的圆润。

4.对元件的性能进行评估和替换、用性能和使用范围更好,更常用的元件进行替换,使自己实际的元件更接近实际使用。 5.学习数字逻辑电路的设计方法。 6.熟知74LS00D各引脚的功能及内部结构。 7.学会使用74LS00D芯片。 8.学会真值表与逻辑表达式及卡诺图之间的转换,能根据化简后的逻辑表达式画出逻辑电路。 [主要技术参数及指标] 74LS00D芯片两块 Y=AB+BC+AC [设计原理及仿真] 1.1设计原理与思路(示例): 通过输入高低电平来控制发光二极管,高低电平的输入通过按键 来实现,同意则合上按键输入高电平(5V)表示1,不同意则不合上表示输 入低电平(接地)表示0,两人或两人以上同意灯亮否则不亮。 2.1元件清单: 序号元件参数数量 1 电阻R1 2KΩ4个 4 发光二极管1个 5 按钮3个 6 74LS00D芯片2块 2.2 电路真值表 A B C Y 不同意不同意不同意不亮 不同意不同意同意不亮 不同意同意不同意不亮 不同意同意同意灯亮 同意不同意不同意不亮 同意不同意同意灯亮

实训 3人表决器

实训任务8.1 制作3人表决器 一、实训目的 1.熟悉CD4012、ULN2003AN集成电路的引脚功能,学会使用数字集成电路搭接电路。 2.学会对逻辑电路进行功能检测。 二、器材准备 1.直流稳压电源 2.万用表 3.元器件明细表 序号分类名称型号规格数量 CD4012 2 1 IC、2IC双四输入与 1 非门 2 3IC OC门ULN2003 1 AN 3 1R~6R电阻47k? 6 R电阻27k? 1 7 R电阻 2.7k? 1 8 4 1C~3 C电容0.01uF 3 5 S1~S3 按钮 3 6 LED 发光二极管 1

三、实训内容与步骤 1. 安装电路 熟悉CD4012和ULN2003集成电路各引脚,按该电路原理图在实验板(或万能板)上将电路接好。 原理图 7 其他 实验板(万能板)、集成电路插座16引脚一块、14引脚两块 Y v v LED IC1 IC1 IC2 IC2 IC3 1

2. 调试与检测电路 (1)电路安装完毕后,对照电路原理图,仔细检查电路是否安装正确以及导线、焊点是否符合要求,检查发光二极管的极性是否正确。 (2)用万用表检测电源是否有问题,包括有无短路、短路现象。若发现故障,应仔细查找原因,并排除故障点。 (3)确认无误后,按集成电路标记口的方向插好集成电路,然后通电测试。 具体测试要求为:设S1、S2、S3按下为“1”,未按下为“0”,按测试数据记录表格的要求分别设置S1、S2、S3的不同组合状态,用万用表分别测量A、B、C、Y1、Y的电位,将测量结果填入表中,观察并记录发光二极管的状态。 (4)按测试要求完成测试过程,做好测试记录,并分析测量结果。

基于51单片机的三人表决器设计课程设计论文

课程设计 学院:电气工程学院 题目:基于51单片机的表决器设计

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

三路表决器教案

授课日期2013 年10 月30 日星期三课题三人表决器总课时3 第1课时 教学目标1.学会根据实际问题来设计逻辑电路的一般方法 2.学会安装电路、实现逻辑功能 教学重、难点学会安装电路、实现逻辑功能 课型、信息化与教具√□新授课□复习课□讲评课□习题课√□实训课□考试考查√□多媒体 教学 过程 教学内容师生活动 复习提问组合逻辑电路的设计步骤 1.真值表 2.写出逻辑函数表达式 3.化简逻辑函数表达式 4.表达式变换 5.画逻辑电路图 学生回答老师问题 导入 既然你们已经学过组合逻辑电路的设计步骤了,今天老师收到校长的一个任务。要求我们设计一个电路,判断社团成立。成立条件是校长必需同意,学生或者老师至少有一个同意,社团就可以成立了。 新授一、设计 1.真值表 设学生为A,校长为B,老师为C,同意为1,不同意为 0,社团成立为1,社团不成立为0。 学生A 校长B 老师C 社团Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 A BC 1 0 0 0 学生讨论分析

新授 1 0 1 0 1 1 0 1 AB C 1 1 1 1 ABC 2.写出逻辑函数表达式 Y=A BC+AB C+ABC 3.化简逻辑函数表达式 Y=A BC+AB C+ABC=AB+BC 4.表达式变换(与非门表达式) Y=BC AB 5.画逻辑电路图 U1A 74LS00D U1B 74LS00D U1C 74LS00D 1 2 A B C 3 6.为了比较直观的显示高低电平我们可以在输出端分 别接上 U1 74LS00N 1 A 1 B 1 Y 2 A 2 B 2 Y G N D 3 Y 3 B 3 A 4 Y 4 B 4 A V C C LE D5 R5 100Ω 7 8 911 A B C Bus1 Bus2 Bus3 VSS 0V VSS VCC 5V VCC 7.提高模块为了比较直观的显示高低电平我们可以 在输入端分别接上 U1A 74LS00D U1B 74LS00D U1C 74LS00D 1 2LED1 6 VSS 0V VCC 5V R1 100Ω 7 LED2 R2 100Ω 8 LED3 R3 100Ω 9 LED4 R4 100Ω 10 学生 Ke y = Spa ce 校长 Ke y = Spa ce 老师 Ke y = Spa ce VCC 4 3 5 A B C VSS 根据老师提示得出电 路 要用按钮来实现电路 连接的话,电路设计出 来如何?

电路实验报告材料3

附件2 本科实验报告 课程名称:电路与电子技术 实验项目:组合逻辑电路的分析与设计 实验地点:软件学院楼机房208 专业班级:软件1408 学号:2014005741 学生:宇琛 指导教师:黄家海兰媛 2015年10月26日

一、实验目的 1、 掌握组合逻辑电路的分析方法。 2、 熟悉译码器74LS138和数据选择器74LS151的逻辑功能 3、 能用74LS138和74LS151进行组合逻辑设计。 二、实验仪器与器件 1、 计算机 2、 仿真软件Multisim 2001 三、实验容及步骤 1、 组合逻辑电路的分析 ①启动Multisim 2011仿真系统,单击“TTL ”快捷图标,选择三个74LS00D 和一个74LS10D 与非门元件,确定后在设计窗口中单击,按照教材235页例题9.2设计的电路图搭接测试电路,参考连线如图3.1所示。 图3.1 三人表决器电路测试图 ②启动仿真开关,改变三个开关的位置,将测试结果记录于表3.1中。 ③关闭仿真开关,在设计窗口中添加逻辑转换仪XLC1,按照图3.2所示连接电路的输入和输出,双击逻辑转换仪打开转换界面,单击“电路→真值表”转 表3.1 三人表决器功能测试表 输 入 输出 A B C F 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1

换按钮,得到该电路的真值表,与步骤②的测试结果进行对比。单击“真值表→简化表达式”转换按钮 ,得到该电路的最简与或式,与例题9.2化简的结果进行对比。

图3.2 运用逻辑转换仪分析组合逻辑电路 2、运用74LS138D进行组合逻辑电路设计 ①根据真值表3.1,写出最小项表达式。 ②运用74LS138D译码器和四输入与非门74LS20D实现该逻辑功能。参考测试线路如图3.3所示。关闭仿真开关,接入逻辑转换仪XLC1,打开转换界面, 单击“电路→真值表”转换按钮,观察转换后的真值表是否符合设计要求。

相关文档