文档库 最新最全的文档下载
当前位置:文档库 › VGA 图像显示控制器设计报告

VGA 图像显示控制器设计报告

VGA 图像显示控制器设计报告
VGA 图像显示控制器设计报告

《电子设计自动化》

课程设计

题目:VGA 图像显示控制器

院(系):

年级:

班级:

姓名:

学号:

指导老师:

摘要:本文介绍了一种利用可编程逻辑器件实现VGA图像显示控制的方法,阐述了VGA图像显示控制器中VGA显像的基本原理以及功能演示,利用可编程器件FPGA设计VGA图像显示控制的VHDL设计方案,并在Altera公司的QuartusII 软件环境下完成VGA模块的设计。而且给出了VGA模块的设计思路和顶层逻辑框图。最终实现VGA图像显示控制器,VGA图像控制器是一个较大的数字系统,传统的图像显示的方法是在图像数据传输到计算机,并通过显示屏显示出在传输过程中,将图像数据的CPU需要不断的信号控制,所以造成CPU的资源浪费,系统还需要依靠计算机,从而减少了系统的灵活性。FPGA芯片和EDA设计方法的使用,可根据用户的需求,为设计提供了有针对性的VGA显示控制器,不需要依靠计算机,它可以大大降低成本,并可以满足生产实践中不断改变的需要,产品的升级换代和方便迅速。

目录

设计思路 ............................................................................................. - 1 -

一、系统设计 ........................................................................... - 1 -

1.设计要求 ........................................................................... - 1 -

2.设计方案 ........................................................................... - 1 -

二、单元电路设计.................................................................... - 2 -

1.VGA显示控制模块............................................................ - 3 -

2.数据存储模块.................................................................... - 7 -

3.锁相环模块........................................................................ - 8 -

三、软件设计 ......................................................................... - 10 -

1.VHDL语言........................................................................ - 10 -

2.Quartus II简介................................................................. - 11 -

3.硬件开发工具.................................................................. - 12 -

四、硬件验证 ......................................................................... - 14 -附录................................................................................................... - 15 -

1.程序 ................................................................................. - 15 -

2.仪器设备名称型号.......................................................... - 17 -

3.系统的性能指标.............................................................. - 18 -

设计思路

一、系统设计

1.设计要求

通过VGA 接口在显示器显示彩色图像,BMP 格式,像素640×480。

2.设计方案

运行时,从FPGA内部存储器读取显示数据。若需存储较大数据时,可将像素点数据存储于FPGA内部的EAB RAM、外部ROM或RAM中。如果是FPGA内部的LPM_ROM,可以设置成含有3位数据线的模块,如果用外部的12数据线的ROM/RAM,一个字节存储两个相邻像素,每个像素是3位彩色数据。将图像控制模块分为这样几部分;分频电路、VGA时序控制模块、图像数据存储器读出模块.原理图下图所示:(注:最终是用VHDL文件实现,此原理图只做模块示意使用。)

图 1

其中,VGA时序控制模块用于产生640*480显示范围,并控制显示范围和消隐范围以及产生水平同步时序信号HS和垂直同步时序信号VS的值,同时产生一个ROM地址,用于读取所存数据;ROM模块为FPGA内部LPM_ROM,数据线3根,地址线为32768根,用于存储分辨率为160*120的图像信息;分频器调用锁相环,产生VGA显示器所需的25M赫兹频率。

考虑到所采用的硬件平台没有足够的空间存储640*480*3bit数据,所以采用插值法以实现设计要求。具体实现为存储160*120的图像数据,使用缩放的方

法将图像放大。该方案是在硬件存储条件有限的情况下所采用的设计方案,若存储空间足够,则完全可以采用数据线为3,地址线为307200(640*480)的LPM_ROM 存储像素信息。

二、单元电路设计

本系统所使用的模块可分为四块,顶层文件,分别为VGA控制模块,数据存储模块,锁相环模块。下文依次介绍。

1、顶层文件

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY VGAV IS

PORT (CLK20MHZ: IN STD_LOGIC;

HS,VS,R,G,B:OUT STD_LOGIC;

C:OUT STD_LOGIC);

END VGAV;

ARCHITECTURE MODELSTRU OF VGAV IS

COMPONENT VGA640480

PORT(CLK:IN STD_LOGIC;

RGBIN:IN STD_LOGIC_VECTOR(2 DOWNTO 0);

HS,VS,R,G,B:OUT STD_LOGIC;

HOUT:OUT STD_LOGIC_VECTOR(9 DOWNTO 0);

VOUT:OUT STD_LOGIC_VECTOR(9 DOWNTO 0));

END COMPONENT;

COMPONENT IMGROM

PORT( clock:IN STD_LOGIC;

address:IN STD_LOGIC_VECTOR(14 DOWNTO 0);

q:OUT STD_LOGIC_VECTOR(2 DOWNTO 0));

END COMPONENT;

COMPONENT PLL50

PORT (inclk0: IN STD_LOGIC;

c0 : OUT STD_LOGIC);

END COMPONENT;

SIGNAL RGB:STD_LOGIC_VECTOR(2 DOWNTO 0);

SIGNAL CLK25MHZ:STD_LOGIC;

SIGNAL ROMADDR:STD_LOGIC_VECTOR(14 DOWNTO 0);

SIGNAL HPOS,VPOS:STD_LOGIC_VECTOR(9 DOWNTO 0 );

BEGIN

ROMADDR<=VPOS(8 DOWNTO 2)&HPOS(9 DOWNTO 2);

C<=CLK25MHZ;

I_PLL50:PLL50 PORT MAP(inclk0=>CLK50MHZ,c0=>CLK25MHZ);

I_VGA640480:VGA640480 PORT MAP(CLK=>CLK25MHZ,RGBIN=>RGB,HS=>HS,VS=>VS,R=>R,G=>G,B=>B,HOUT=>HPOS, VOUT=>VPOS);

I_IMGROM:IMGROM PORT MAP(clock=>CLK25MHZ,address=>ROMADDR,q=>RGB); END MODELSTRU;

采用地址并用的方法,将160*120的图像放大到640*480。

2、VGA显示控制模块

对于普通的VGA显示器,其引线共含5个信号,即三基色信号R G B;行同

步信号HS和场同步信号VS。

图2

显示器扫描方式分为逐行扫描和隔行扫描:逐行扫描是扫描从屏幕左上角一点开始,从左像右逐点扫描,每扫描完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT对电子束进行消隐,每行结束时,用行同步信号进行同步;当扫描完所有的行,形成一帧,用场同步信号进行场同步,并使扫描回到屏幕左上方,同时进行场消隐,开始下一帧。隔行扫描是指电子束扫描时每隔一行扫一线,完成一屏后在返回来扫描剩下的线,隔行扫描的显示器闪烁的厉害,会让使用者的眼睛疲劳。

完成一行扫描的时间称为水平扫描时间,其倒数称为行频率;完成一帧(整屏)扫描的时间称为垂直扫描时间,其倒数称为场频率,即刷新一屏的频率,常见的有60Hz,75Hz等等。标准的VGA显示的场频60Hz,行频31.5KHz。

行场消隐信号:是针对老式显像管的成像扫描电路而言的。电子枪所发出的电子束从屏幕的左上角开始向右扫描,一行扫完需将电子束从右边移回到左边以

便扫描第二行。在移动期间就必须有一个信号加到电路上,使得电子束不能发出。不然这个回扫线会破坏屏幕图像的。这个阻止回扫线产生的信号就叫作消隐信号,场信号的消隐也是一个道理。

显示带宽:带宽指的显示器可以处理的频率范围。如果是60Hz刷新频率的VGA,其带宽达640x480x60=18.4MHz,70Hz的刷新频率1024x768分辨率的SVGA,其带宽达1024x768x70=55.1MHz。

时钟频率:以640x480@59.94Hz(60Hz)为例,每场对应525个行周期

(525=10+2+480+33),其中480为显示行。每场有场同步信号,该脉冲宽度为2个行周期的负脉冲,每显示行包括800点时钟,其中640点为有效显示区,每一行有一个行同步信号,该脉冲宽度为96个点时钟。由此可知:行频为

525*59.94=31469Hz,需要点时钟频率:525*800*59.94约25MHz

逐行扫描轨迹如下图:

图3

VGA的工业标准:

VESA中定义行时序和场时序都需要同步脉冲(Sync a)、显示后沿(Back porch b)、显示时序段(Display interval c)和显示前沿(Front porch d)四部分。VGA工业标准显示模式要求:行同步,场同步都为负极性,即同步脉冲要求是负脉冲。

由VGA的行时序可知:没一行都有一个负极性行同步脉冲(Sync a),是数据行的结束标志,同时也是下一行的开始标志。在同步脉冲之后为显示后沿(Back porch b),在显示时序段(Display interval c)显示器为亮的过程,RGB数据驱动一行上的每一个像素点,从而显示一行。在一行的最后为显示前沿(Front porch d)。在显示时间段(Display interval c)之外没有图像投射到屏幕是插入消隐信号。同步脉冲(Sync a)、显示后沿(Back porch b)和显示前沿(Front porch d)都是在行消隐间隔内(Horizontal Blanking Interval),当消隐有效时,RGB信号无效,屏幕不显示数据。

VGA的场时序与行时序基本一样,每一帧的负极性脉冲(Sync a)是一帧的结束标志,同时也是下一帧的开始标志。而显示数据是一帧的所有行数据。

图4

上图中的时间段如下:这四个时期定义如下:

图5

这八个时期定义如下:

A~B:行消隐期即同步,相当于还原扫描坐标吧

B~C:行消隐后肩相当于准备开始扫描吧

C~D:行显示期扫描中,数据有效区域

D~E:行消隐前肩完成扫描,相当于准备同步

O~P:场消隐期即同步,相当于还原扫描坐标吧

P~Q:场消隐后肩相当于准备开始扫描吧

Q~R:场显示期扫描中,数据有效区域

R~S:场消隐前肩完成扫描,相当于准备同步

以下是行扫描,场扫描HS,VS时序图:

图6

如上图所示:VGA一直在扫描,每一场的扫描包括了若干行扫描,反复循环。且在640*480@60Hz的标准下,每秒需要输出25.175M像素,即每秒输出31469行,也即每秒输出60张图。其工业标准如下图所示:

图7

此部分代码见附录。原理图如下:

图8

3、数据存储模块

数据存储模块调用LPM_ROM模块。具体设置如下:

图9

该模块用于存储图像数据。数据位宽为3,地址线为32768.

原理图如下:

图10

存储与该ROM的图像数据原为BMP格式,为使其可以存储于ROM内部,需要使用软件将信息转换。本实验使用3位数据存储颜色信息,因此仅能显示8中颜色,具体对应关系如下表所示:

表格 1

宽3),单一mif文件(存储在一个ROM里):

图11

考虑到由于插值法所带来的算法设计,须将存储的图片变为256*120,即每行右边多出96个无用的数据点,这样可以确保每16个像素点公用一个图片像素信息。具体修改方法为,用画图软件打开原160*120图像,此时设置图片大小为256*120,可看到原图片大小右侧多出一列白色矩形,此时另存为新的图片,用新的图片生成.mif文件。

4、锁相环模块

锁相环路是一种反馈控制电路,简称锁相环(PLL),一种输出一定频率信号的振荡电路,也称为相位同步环(回路)。该回路利用使外部施加的基准信号与PLL 回路内的振荡器输出的相位差恒定的反馈控制来产生振荡信号。在网络领域中,PLL用于从接收的信号中分离出时钟信号,可以通过实际电路或软件的方式实现。

由于FPGA的系统时钟为50MHz,而控制VGA的时钟为40MHz,所以在对VGA 接口的控制时需要进行时钟转换,通常可以通过分频或者是调用 IP核的方式实现转换,但是由于通过自己写的分频程序假如在数据上处理不得当,容易产生毛刺,而调用quanersII软件自带的PLL内核,不仅可以做到与系统时钟同相,而且时钟稳定,能够实现对VGA时序的严格控制,与硬件电路来实现锁相环相比,调用PLL。内核不仅可以做到操作简单,而且也节约了设计成本。

考虑到实验平台,选用50MHz的时钟作为锁相环的输入频率,而输出频率则为系统所需的25MHz。具体设置如下图:

图12

图13

原理图如下:

图14

三、软件设计

1.VHDL语言

VHDL语言是一种被用于电路设计中的高级语言。出现于80年代的后期。它是由美国国防部开发出来的,起初它只供美军用来提高设计的可靠性和减少开发周期的一种小范围使用的设计语言。

VHDL中文简称超高速集成电路硬件描述语言,是一种集设计、仿真、综合于一体的标准硬件描述语言,是对可编程逻辑器件进行开发与设计的重要工具,主要应用于数字电路的设计。VHDL语言作为IEEE的一种工业标准,因此掌握VHDL语言是实现信息系统硬件开发所必备的知识和技能。现阶段,在中国它主要是被用在FPGA/CPLD/EPLD的设计中。但是在一些技术较为先进的单位,它也被用来设计ASIC。

VHDL主要是被用来描述数字系统的结构,行为,功能和接口。除了拥有的语句绝大多数具备硬件特征外,它得语言形式、描述风格以及语法于普通的计算机高级语言基本无异。VHDL的程序结构中最大的特点就是

将一项工程设计,或称设计实体(可是单个元件,单一电路模块或一整个

系统)分成外部(即可视部分及端口)和内部(即也视为不可视部分),既

涉及实体的内部功能和算法完成部分。若设计实体被定义了外部界面后,其内部开发也以完成,那么这个实体就可被之后的设计直接调用。VHDL

系统设计的基本点便来源于这种将设计实体分成内外部分的概念。相比与

其他硬件描述语言,VHDL具有功能性强大、设计简单;支持面广、修改方便;

超强的系统硬件描述能力;设计可以独立于器件并与工艺无关;移植能力强;容易共享与复用等诸多特点,于此VHDL于其他描述性硬件语言更具备如下优势:

●相比于其他描述性硬件语言,VHDL拥有更为强大的行为描述能力,因此也使

得它成为了系统设计领域最适合的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。

●VHDL拥有多元化的仿真语句及库函数,因此任何规模的大系统得设计在其早

期就能检验设计系统的功能是否可行,并无限制的对设计进行仿真模拟。

●VHDL具有将大规模设计进行分解和再次利用已有的设计功能得益于其语句

的行为描述能力和程序结构。符合市场的需求,使得规模大的系统高效,高速的完成由有多人或者多个研发组同时并行工作才得以实现。

●任何确定性的设计若使用VHDL,其逻辑综合和优化等过程都可用EDA工具进

行,优点于EDA工具能自动把VHDL描述设计转变成门级网表。

●VHDL可以独立性描述一个设计,即便设计者不懂硬件的结构,也不知道最终

设计实现的目标器件是什么,也可以进行独立的设计。

2.Quartus II简介

Max+plus II作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对Max+plus II 的更新支持。Quartus II 是Altera公司继Max+plus II之后开发的一种针对其公司生产的系列CPLD/PGFA 器件的综合性开发软件,它的版本不断升级,从4.0版到10.0版,这里介绍的是QuartusII8.0版,该软件有如下几个显著的特点:

此软件拥有友好的界面,使用便捷,功能强大,当中可编程逻辑设计环境采

用完成集成化,是先进的EDA工具软件。该软件具备诸多特点(例如:开放性,与结构无联系,多平台设计,完全集成化,设计库丰富、工具模块化等),支持原理图、VHDL、VerilogHDL以及AHDL等多种设计输入形式,内部镶有自带的综合器和仿真器,能够完成从设计输入到硬件配置的完整PLD设计流程。Quartus II 能够在多系统上使用,为用户的设计方式提供了完善的图形界面。具有运行速度快,界面统一,功能集中,学用简单等特点。

Quartus II支持Altera公司的MAX3000A系列、MAX7000系列、MAX9000系列、ACEX1K系列、APEX20K系列、APEXII系列、FLEX6000系列、FLEX10K系列,支持MAX7000/MAX3000等乘积项器件。支持MAXIICPLD系列、Cyclone系列、CycloneII、StratixII系列、Stratix GX系列等。支持IP核,包含了LPM/MegaFunction宏功能模块库,用户可利用充分成熟的模块,简化了复杂性的设计步骤、设计速度明显加快。支持Altera的片上可编程系统(SOPC)开发,将系统级设计,开发嵌入式的软件,可编程逻辑的设计基于一体,是一款综合性的应用开发平台。

其良好的支持第三方EDA工具,让用户能够使用自己了解的第三放EDA工具,并应用于设计流程的各个阶段。Altera公司的Quartus II可编程逻辑软件属于该公司第四代PLD开发平台,其设计要求可在一个工作组环境下,其中也包含支持基于Internet的协作设计。Quartus平台与纵多的EDA供应商所开发的工具能相兼容。LogicLock软件的模块设计功能得到相当的改进,增加了FastFit编译选项,网络编辑性能得于推荐,此外调试能力明显提升很多。

3.硬件开发工具

本实验基于杭州康芯公司GW48-CK+型EDA实验开发系统。系统配备的芯片为CycloneII

该系统配置、功能与特点如下:

●含Multi-task Reconfiguration电路结构(多功能重配置结构)。

●适配板GWA1C3)Cycline FPGA EP1C3T144,15万门、EPCS1 FPGA

配置Flash,10万次编程周期。

●能对不同公司(Altera、Xilinx,lattice等)FPGA/CPLD进行实验开发;

●FPGA/CPLD万能接插口;智能译码模块;±12V、5V、3.3V、2.5V、

1.2V混合电压源;1Hz—50MHz标准时钟源;数字频率计;

●VGA、UART、PS/2、FPGA/单片机等接口;

●ADC和DAC;311构成的A/D;

●良好电磁兼容性的SX8200-J高速高密主板;

●用于信号发生器实验的有源滤波;

●可配模拟EDA器件适配板(ispPAC)

●BytBlastII JTAG编程下载器,和ByteblasterMV编程下载器,能对不同

公司的FPGA/CPLD实验开发;

系统配备的芯片为CycloneII EP2C5T144C8,该芯片特点如下:

●Altera公司2004年推出了新款Cyclone II系列FPGA器件。Cyclone II FPGA的成本

比第一代Cyclone器件低30%,逻辑容量大了三倍多,可满足低成本大批量应用需求。

●Cyclone II器件采用TSMC90nm低K绝缘材料工艺技术,这种技术结合Altera低成

本的设计方式,使之能够在更低的成本下制造出更大容量的器件。这种新的器件比第一代Cyclone产品具有两倍多的I/O引脚,且对可编程逻辑,存储块和其它特性进行了最优的组合,具有许多新的增强特性。

●Altera也为Cylcone II器件客户提供了40多个可定制IP核

具体参数如下图:

图15

实现方法

本系统共使用6个端口,即一个输入(时钟)端口,5个输出(R G B HS VS)端口,对照硬件系统引脚图,端口绑定如下:

图16

四、硬件验证

本实验使用传统CRT显示器演示。首先将绑定引脚后的程序文件烧写进FPGA芯片,用VGA接线连接好开发平台和显示器,开启显示器后即可看到所存图像。

原图

图17

VGA显示图

图18

附录

1.程序

VGA顶层设计文件(VGAV.vhd)

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY VGAV IS

PORT (CLK20MHZ: IN STD_LOGIC;

HS,VS,R,G,B:OUT STD_LOGIC;

C:OUT STD_LOGIC);

END VGAV;

ARCHITECTURE MODELSTRU OF VGAV IS

COMPONENT VGA640480

PORT(CLK:IN STD_LOGIC;

RGBIN:IN STD_LOGIC_VECTOR(2 DOWNTO 0);

HS,VS,R,G,B:OUT STD_LOGIC;

HOUT:OUT STD_LOGIC_VECTOR(9 DOWNTO 0);

VOUT:OUT STD_LOGIC_VECTOR(9 DOWNTO 0));

END COMPONENT;

COMPONENT IMGROM

PORT( clock:IN STD_LOGIC;

address:IN STD_LOGIC_VECTOR(14 DOWNTO 0);

q:OUT STD_LOGIC_VECTOR(2 DOWNTO 0));

END COMPONENT;

COMPONENT PLL50

PORT (inclk0: IN STD_LOGIC;

c0 : OUT STD_LOGIC);

END COMPONENT;

SIGNAL RGB:STD_LOGIC_VECTOR(2 DOWNTO 0);

SIGNAL CLK25MHZ:STD_LOGIC;

SIGNAL ROMADDR:STD_LOGIC_VECTOR(14 DOWNTO 0);

SIGNAL HPOS,VPOS:STD_LOGIC_VECTOR(9 DOWNTO 0 );

BEGIN

ROMADDR<=VPOS(8 DOWNTO 2)&HPOS(9 DOWNTO 2);

C<=CLK25MHZ;

I_PLL50:PLL50 PORT MAP(inclk0=>CLK20MHZ,c0=>CLK25MHZ);

I_VGA640480:VGA640480 PORT

MAP(CLK=>CLK25MHZ,RGBIN=>RGB,HS=>HS,VS=>VS,R=>R,G=>G,B=>B,HOUT=>HPOS, VOUT=>VPOS);

I_IMGROM:IMGROM PORT MAP(clock=>CLK25MHZ,address=>ROMADDR,q=>RGB); END MODELSTRU;

VGA显示控制模块(VGA640580.vhd)

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY VGA640480 IS

PORT(CLK:IN STD_LOGIC;

HS:OUT STD_LOGIC;

VS:OUT STD_LOGIC;

R:OUT STD_LOGIC;

G:OUT STD_LOGIC;

B:OUT STD_LOGIC;

RGBIN:IN STD_LOGIC_VECTOR(2 DOWNTO 0);

HOUT:OUT STD_LOGIC_VECTOR(9 DOWNTO 0);

VOUT:OUT STD_LOGIC_VECTOR(9 DOWNTO 0));

--DOUT:OUT STD_LOGIC_VECTOR(14 DOWNTO 0));

END VGA640480;

ARCHITECTURE BEHAV OF VGA640480 IS

SIGNAL HCNT:STD_LOGIC_VECTOR(9 DOWNTO 0);

SIGNAL VCNT:STD_LOGIC_VECTOR(9 DOWNTO 0);

SIGNAL D:STD_LOGIC_VECTOR(3 DOWNTO 0);

SIGNAL COUT:STD_LOGIC_VECTOR(14 DOWNTO 0);

BEGIN

PROCESS(CLK) BEGIN

IF(RISING_EDGE(CLK))THEN

IF(HCNT<800)THEN

HCNT<=HCNT+1;

ELSE HCNT<=(OTHERS =>'0');

END IF;

END IF;

END PROCESS;

PROCESS(CLK) BEGIN

IF(RISING_EDGE(CLK))THEN

IF(HCNT=648)THEN

IF(VCNT<525)THEN

VCNT<=VCNT+1;

ELSE VCNT<=(OTHERS=>'0');

END IF;

END IF;

END IF;

END PROCESS;

PROCESS(CLK) BEGIN

IF (RISING_EDGE(CLK))THEN

IF HCNT>=656 AND HCNT<752 THEN

HS<='0';

ELSE HS<='1';

END IF;

END IF;

END PROCESS;

PROCESS(CLK)BEGIN

IF (RISING_EDGE(CLK))THEN

IF VCNT>=490 AND VCNT<492 THEN

VS<='0';

ELSE VS<='1';

END IF;

END IF;

END PROCESS;

PROCESS(CLK) BEGIN

HOUT<=HCNT;

VOUT<=VCNT;

IF (RISING_EDGE(CLK))THEN

IF(HCNT<640 AND VCNT<480)THEN

R<=RGBIN(0);G<=RGBIN(1);B<=RGBIN(2);D<=D+1;

ELSE R<='0';G<='0';B<='0';

END IF;

END IF;

END PROCESS;

END BEHAV;

2.仪器设备名称型号

采用的设备是GW48_EDA系统实验箱,电路图为模式5。采用的芯片为Altera公司CycloneII系列的EPC5T144C8。

基于单片机的电子时钟设计报告(LCD显示)

单片机原理及应用课程设计任务书 题目:电子时钟(LCD显示) 1、设计要求以AT89C51单片机为核心的时钟,在LCD显示器上显示当前的时间: 使用字符型LCD显示器显示当前时间。显示格式为“时时:分分:秒秒”。用3个功能键操作来设置当前时间。功能键K1~K4功能下。 K1—设置小时。 K2—设置分钟。 K3—设置秒。 程序执行后工作指示灯LED发光,表示程序开始执行,LCD显示“23:59:00”,然后开始计时。 2、工作原理 本课题难点在于键盘的指令输入,由于每个按键都具有相应的一种功能,程序中有较多的循环结构用以判断按键是否按下,以及判断按键是否抬起,以及LCD显示器的初始化。 3、参考电路 硬件设计电路图如下图所示: 硬件电路原理图 单片机原理及应用课程设计任务书

题目:电子时钟(LCD显示) 1、设计要求以AT89C51单片机为核心的时钟,在LCD显示器上显示当前的时间: 使用字符型LCD显示器显示当前时间。显示格式为“时时:分分:秒秒”。用3个功能键操作来设置当前时间。功能键K1~K4功能下。 K1—设置小时。 K2—设置分钟。 K3—设置秒。 程序执行后工作指示灯LED发光,表示程序开始执行,LCD显示“23:59:00”,然后开始计时。 2、工作原理 本课题难点在于键盘的指令输入,由于每个按键都具有相应的一种功能,程序中有较多的循环结构用以判断按键是否按下,以及判断按键是否抬起,以及LCD显示器的初始化。 3、参考电路 硬件设计电路图如下图所示: 硬件电路原理图 基于AT89C51单片机的电子时钟设计报告

一、设计要求与目的 1)设计要求以AT89C51单片机为核心的时钟,在LCD显示器上显示当前的时间。 2)、使用字符型LCD显示器显示当前时间。显示格式为“时时:分分:秒秒”。3)、用3个功能键操作来设置当前时间。 4)、熟悉掌握proteus编成软件以及keil软件的使用 二、本设计原理 本设计以AT89C51单片机为核心,通过时钟程序的编写,并在LCD显示器上显示出来。该编程的核心在于定时器中断及循环往复判断是否有按键操作,并对每个按键的操作在LCD显示器上作出相应的反应。由于LCD显示器每八位对应一个字符,故把秒、分、时的个位和十位分开表示。 该课题中有三个控制开关KM1、KM2、KM3分别控制时、分、秒的调整,时间按递增的方式调整,每点一次按钮则相应的时间个位加以,且时间调整不干扰其他为调整时间的显示。 三、硬件设计原理(电路) 硬件电路原理图

vga图像显示控制

VGA图像显示控制器 一、摘要和关键词 摘要:VGA显示屏显示采用逐行扫描的方式解决,阴极射线枪发出电子束打在涂有荧光粉的荧光屏上,产生GRB基色,合成一个彩色像素。扫描从屏幕的左上方开始,从左到右,从上到下,逐行扫描,每扫完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT对电子束进行消隐,每行结束时,用行同步信号进行行同步;扫描完所有行,用场同步信号进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,并预备进行下一次的扫描。通过控制扫描计数器不同值时对RGB三原色信号的控制,来完成显示设计。 关键词:行列扫描行列同步RGB三原色控制 二、设计任务要求 实验目的 1. 熟练掌握VHDL 语言和QuartusII 软件的使用; 2. 理解状态机的工作原理和设计方法; 3. 掌握利用EDA 工具进行自顶向下的电子系统设计方法; 4. 熟悉VGA 接口协议规范。 实验要求: 设计一个VGA 图像显示控制器,达到如下功能: 显示模式为640×480×60HZ 模式; 用拨码开关控制R、G、B(每个2 位),使显示器可以显示64种纯色; 在显示器上显示横向彩条信号(至少6 种颜色); 在显示器上显示纵向彩条信号(至少8 种颜色); 在显示器上显示自行设定的图形、图像等。 选做:自拟其它功能。 三、实验原理 1、显示控制原理 常见的彩色显示器一般由阴极射线管(CRT)构成,彩色由GRB(Green Red Blue)基色组成。显示采用逐行扫描的方式解决,阴极射线枪发出电子束打在涂有荧光粉的荧光屏上,产生GRB基色,合成一个彩色像素。扫描从屏幕的左上方开始,从左到右,从上到下,逐行扫描,每扫完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT、对电子束进行消隐,每行结束时,用行同步信号进行行同步;扫描完所有行,用场同步信号进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,并预备进行下一次的扫描。VGA显示控制器控制CRT显示图象的过程如图1所示

课程设计报告模板)

课程设计报告模板()

————————————————————————————————作者: ————————————————————————————————日期: ?

课程设计(论文)任务书 软件学院软件+电商专业09级(2)班 一、课程设计(论文)题目基本模型机设计与实现 二、课程设计(论文)工作自2011年6月 20 日起至2011年 6月 24日止。 三、课程设计(论文) 地点:计算机组成原理实验室(5#301) 四、课程设计(论文)内容要求: 1.课程设计的目的 通过课程设计的综合训练,在掌握部件单元电路实验的基础上,进一步掌握整机 概念。培养学生实际分析问题、解决问题和动手能力,最终目标是想通过课程设计的形式,帮助学生系统掌握该门课程的主要内容,更好地完成教学任务。 2.课程设计的任务及要求 1)基本要求? (1)课程设计前必须根据课程设计题目认真查阅资料; (2)实验前准备好实验程序及调试时所需的输入数据; (3)实验独立认真完成; (4)对实验结果认真记录,并进行总结和讨论。 2)课程设计论文编写要求 (1)按照书稿的规格撰写打印课设论文 (2)论文包括目录、绪论、正文、小结、参考文献、附录等 (3)正文中要有问题描述、实验原理、设计思路、实验步骤、调试过程与遇到问题的解决方法、总结和讨论等 (4)课设论文装订按学校的统一要求完成 3)课设考核 从以下几方面来考查:

(1)出勤情况和课设态度; (2)设计思路; (3)代码实现; (4)动手调试能力; (5)论文的层次性、条理性、格式的规范性。 4)参考文献 [1]王爱英.计算机组成与结构[M]. 北京:清华大学出版社, 2007. [2] 王爱英. 计算机组成与结构习题详解与实验指导[M]. 北京:清华大学出版社, 2007. 5)课程设计进度安排 内容天数地点 构思及收集资料1图书馆 实验与调试 3 实验室 撰写论文 1 图书馆 6)任务及具体要求 设计实现一个简单的模型机,该模型机包含若干条简单的计算机指令,其中至少包括输入、输出指令,存储器读写指令,寄存器访问指令,运算指令,程序控制指令。学生须根据要求自行设计出这些机器指令对应的微指令代码,并将其存放于控制存储器,并利用机器指令设计一段简单机器指令程序。将实验设备通过串口连接计算机,通过联机软件将机器指令程序和编写的微指令程序存入主存中,并运行此段程序,通过联机软件显示和观察该段程序的运行,验证编写的指令和微指令的执行情况是否符 合设计要求,并对程序运行结果的正、误分析其原因。 学生签名: 亲笔签名 2011年6月20 日 课程设计(论文)评审意见 (1)设计思路:优( )、良()、中( )、一般()、差( ); (2)代码实现:优()、良()、中()、一般()、差();

数字图像处理 课程设计报告

数字图像处理 课程设计报告 姓名: 学号: 班级: 设计题目:图像处理 教师:赵哲老师 提交日期: 12月29日

一、设计内容: 主题:《图像处理》 详细说明:对图像进行处理(简单滤镜,模糊,锐化,高斯模糊等),对图像进行处理(上下对称,左右对称,单双色显示,亮暗程度调整等),对图像进行特效处理(反色,实色混合,色彩平衡,浮雕效果,素描效果,雾化效果等), 二、涉及知识内容: 1、二值化 2、各种滤波 3、算法等 三、设计流程图 四、实例分析及截图效果: 运行效果截图: 第一步:读取原图,并显示 close all;clear;clc; % 清楚工作窗口clc 清空变量clear 关闭打开的窗口close all I=imread(''); % 插入图片赋给I imshow(I);% 输出图I I1=rgb2gray(I);%图片变灰度图 figure%新建窗口 subplot(321);% 3行2列第一幅图 imhist(I1);%输出图片

title('原图直方图');%图片名称 一,图像处理模糊 H=fspecial('motion',40); %% 滤波算子模糊程度40 motion运动 q=imfilter(I,H,'replicate');%imfilter实现线性空间滤波函数,I图经过H滤波处理,replicate反复复制q1=rgb2gray(q); imhist(q1); title('模糊图直方图'); 二,图像处理锐化 H=fspecial('unsharp');%锐化滤波算子,unsharp不清晰的 qq=imfilter(I,H,'replicate'); qq1=rgb2gray(qq); imhist(qq1); title('锐化图直方图'); 三,图像处理浮雕(来源网络) %浮雕图 l=imread(''); f0=rgb2gray(l);%变灰度图 f1=imnoise(f0,'speckle',; %高斯噪声加入密度为的高斯乘性噪声 imnoise噪声污染图像函数 speckle斑点 f1=im2double(f1);%把图像数据类型转换为双精度浮点类型 h3=1/9.*[1 1 1;1 1 1;1 1 1]; %采用h3对图像f2进行卷积滤波 f4=conv2(f1,h3,'same'); %进行sobel滤波 h2=fspecial('sobel'); g3=filter2(h2,f1,'same');%卷积和多项式相乘 same相同的 k=mat2gray(g3);% 实现图像矩阵的归一化操作 四,图像处理素描(来源网络) f=imread(''); [VG,A,PPG] = colorgrad(f); ppg = im2uint8(PPG); ppgf = 255 - ppg; [M,N] = size(ppgf);T=200; ppgf1 = zeros(M,N); for ii = 1:M for jj = 1:N if ppgf(ii,jj)

LCD显示设计

《单片机原理及应用》 课程设计报告 题目: LCD显示设计 院 (系):机电与自动化学院 专业班级:电气工程及其自动化1204 学生姓名: 学号: 指导教师: 2015年6月 23日至2015年 7 月 3日 华中科技大学武昌分校制

《单片机及控制系统》课程设计任务书

目录 1.课程设计目的 (1) 2. 课程设计题目及要求 (2) 2.1 课程设计题目 (2) 2.2 课程设计要求 (2) 3. 课程设计主要内容 (3) 3.1 LCD显示原理 (3) 3.2 LCD显示电路 (3) 3.3L C D引脚说明 (4) 3.4 SED1520的基本原理 (4) 3.5 SED1520的13条指令 (6) 3.6 LCD与单片机的连接 (6) 3.7 汉字字模的获取 (7) 3.8 课程设计程序流程 (8) 3.8.1显示子程序 (8) 3.8.2 初始化程序 (8) 3.8.3 显示程序 (9) 3.8.4 清屏子程序 (9) 3.9 课程设计具体程序 (10) 3.9.1翻屏 (10) 4.课程设计总结 (16) 参考文献 (17)

1.课程设计目的 随着科技的高速发展,液晶显示设备越来越多,各种各样的液晶显示产品走进我们生活中。为了进一步巩固学习的理论知识,增强我们对所学知识的实际应用能力和运用所知识解决实际问题的能力,开始为期两周的单片机课程设计。单片机课程设计的目的是培养我们综合设计的能力,训练我们灵活运用所学知识,独立完成问题分析、总体设计和编程实现等软件开发过程的综合实践能力,巩固深化学生的理论知识,提高编程水平,并在此过程中培养我们严谨的科学态度和良好的学习作风。为今后其他计算机课程打下基础。按照教学计划的要求,利用二周时间,综合应用所学知识,设计具有一定功能的LCD显示,培养我们一定的自学能力和独立分析问题、解决问题的能力,要求我们能通过独立思考、查阅工具书、参考文献,提出自己的设计方案。

LED线阵显示屏设计报告

陇东学院第二届电子设计大赛 LED线性显示屏设计 院系班级:信息工程学院 12级通信工程本科班参赛组: 指导老师: 2014年6月22日

摘要 LED旋转显示器时基于视觉暂留原理,开发的一种旋转式LED 显示屏。本设计基于AT89C52单片机,通过直流电机带动旋转,在具有一定转速地载体上安装16个LED发光器件,各LED发光管等间距排位一条直线,随着电机的运转,不断扫描出预设的文字。 针对传统LED显示屏视角单一、体积较大、需要的发光二极管数目较多等特点,利用电机带动LED显示阵列高速旋转实现环形显示,达到360全视角,在相同显示信息量上降低产品体积,减少对发光二极管的使用,设计一个可以经常方便变换内容、能够携带方便、信息容量大、价格低廉、结构简单的信息播放系统,即旋转LED显示屏。 实际制作的LED旋转显示屏技术参数的测试结果,以及实际的运行效果表明,本文设计和制作的旋转显示屏基本符合设计的基本要求,具有一定的创新性与实际应用价值。 设计关键字:AT89C52单片机,视觉暂留,直流电机,旋转。

目录 1.任务要求 (4) 1.1基本要求 (5) 1.2 发挥部分 (5) 1.3 说明 (5) 2.总体方案设计 (5) 2.1 系统分析 (5) 2.2方案论证 (5) 2.3方案设计 (6) 2.4 系统总体方框图 (6) 3.电路与程序设计 (7) 3.1电路外观设计 (7) 3.2硬件设计 (7) 3.3软件设计 (8) 4.系统测试 (8) 4.1测试方案 (8) 4.2调试方案 (9)

4.3测试结果 (9) 4.4测试结果分析 (9) 5.系统硬件框图 (9) 6.谢辞 (10) 8.参考文献 (11) 附录 (11) 9.1 效果图 (11) 9.2源程序 (15)

LCD-VGA 嵌入式微型显示控制系统软件设计说明书

编号: 版本: LCD-VGA微型显示驱动电路 软件详细设计说明书 编写: 2015年05月18日 校对: 2015年06月12日 审核: 2015年06月15日 批准: 2015年06月20日 目录 一、项目背景 (2) 二、软件功能介绍 (2) 三、软件特性介绍 (2) 四、软件的运行环境介绍 (2) 五、系统的物理结构 (2) 六、系统总结构 (3) 七、系统各个模块介绍 (5) 七、算法设计 ............................................................ 错误!未定义书签。 八、接口设计 ............................................................ 错误!未定义书签。 九、需求规定 (10) 十、测试计划 (10)

一、项目背景 随着便携式多媒体终端需求量迅速增加,在视频解码等方面对芯片低功耗的要求也越来越高。因此,只有将模拟视频信号转换成为符合ITU-R BT、656标准的数字信号,才可方便地利用FPGA或者DSP甚至PC机来进行信号处理。本模块就就是利用TI公司的超低功耗视频解码芯片TVP5150对视频信号A/D解码,由单片机通过I2C总线控制,实现驱动VGA级别(640X480)的微型显示模组,并预留地址数据等接口,作为模块验证以及后续数字信号处理之用。 二、软件功能介绍 本系统主要由视频转换模块TVP5150、按键模块、8051内核单片机与液晶图形缩放引擎(A912)组成,系统框图6、1所示。STC单片机通过I2C接口控制其余三部分模块的工作,视频解码IC把复合视频转换成标准8位的ITU-RBI、656格式的数字信号传输到A912,A912通过解码矩阵电路把解调后的信号转换成三基色RGB信号,最后通过增益/偏移控制、伽马校正、抖动处理与图形缩放变RGB信号输出到液晶屏、 三、软件特性介绍 以STC单片机 MCU为控制中心,以视频转换芯片TVP5150为硬件核心。电路将模拟视频信号编码为ITU-R BT.656类型的数据流。单片机管理整个工作流程,缩放引擎芯片进行图像处理,把数据流转换为RGB信号,最终在液晶屏上获得显示图像。该显示器结构轻薄,电路简单,性能可靠,图像显示清晰稳定。 四、软件的运行环境介绍 软件应在以下环境中运行: 硬件环境:选用256字节 RAM+1K AUX-RAM、4KB ROM、S0P 型号为STC11F04E 单片机 计算机软件:采用C语言进行编译并生成相应执行文件格式,在STC11F04E 单片机上运行。 五、系统的物理结构 微型显示嵌入式软件中的硬件就是由主控、显示驱动模块、按键输入模块、视频解码器模块TVP5150组成,其物理结构图如下图所示

2013数字图像处理课程设计报告

数字图像处理 课程设计报告 课设题目:彩色图像增强软件学院:信息科学与工程学院专业:电子与信息工程 班级: 1002501 姓名:曾小路 学号: 100250131 指导教师:赵占峰 哈尔滨工业大学(威海) 2013 年12月27日

目录 目录 .......................................................................................................................... I 一. 课程设计任务 (1) 二. 课程设计原理及设计方案 (2) 2.1 彩色图像基础 (2) 2.2 彩色模型 (2) 三. 课程设计的步骤和结果 (6) 3.1 采集图像 (6) 3.2 图像增强 (7) 3.3 界面设计 (9) 四. 课程设计总结 (12) 五. 设计体会 (13) 六. 参考文献 (14)

哈尔滨工业大学(威海)课程设计报告 一. 课程设计任务 1.1设计内容及要求: (1)、独立设计方案,根据所学知识,对由于曝光过度、光圈过小或图像亮度不均匀等情况下的彩色图像进行增强,提高图像的清晰度(通俗地讲,就是图像看起来干净、对比度高、颜色鲜艳)。 (2)、参考photoshop 软件,设计软件界面,对处理前后的图像以及直方图等进行对比显示; (3)、将实验结果与处理前的图像进行比较、分析。总结设计过程所遇到的问题。 1.2参考方案 1、实现图像处理的基本操作 学习使用matlab 图像处理工具箱,利用imread()语句读入图像,例如image=imread(flower.jpg),利用彩色图像模型转换公式,将RGB 类型图像转换为HSI 类型图像,显示各分量图像(如imshow(image)),以及计算和显示各分量图像直方图。 2、彩色图像增强实现 对HSI彩色模型图像的I分量进行对比度拉伸或直方图均衡化等处理,提高亮度图像的对比度。对S分量图像进行适当调整,使图像色彩鲜艳或柔和。 H 分量保持不变。将处理后的图像转换成RGB 类型图像,并进行显示。分析处理图像过程和结果存在的问题。 3、参照“photoshop”软件,设计图像处理软件界面 可设计菜单式界面,在功能较少的情况下,也可以设计按键式界面,视 功能多少而定;参考matlab 软件中GUI 设计,学习软件界面的设计 - 1 -

LCD1602液晶显示器设计

LCD1602液晶显示课程设计 第一章绪论 1.1课题背景 当今时候是一个信息化的时代,信息的重要性不言而喻的,获取手段显得尤其重要。人们所接受的信息有70%来自于人的视觉,无论用何种方式获取的信息最终需要有某种显示方式来表示。在当代显示技术中,主流的有LED显示屏和LCD液晶显示,而在这些显示技术中,尤其以液晶显示器LCD(Liquid crystal display)为代表的平板显示器发展最快,应用最广。LCD是典型的发光器件,它一材料科学为基础,综合利用了精密机械,光电及计算机技术,并正在微机械,微光学,纤维光学等前沿领域研究基础上,向高集成化,智能化方向发展。 液晶显示技术发展迅猛,市场预测表明,液晶显示平均年销售呈增长10%~13%,不久的将来有可能取代CRT,成为电子信息产品的主要显示器件,另外,液晶显示器对空间电磁辐射的干扰不敏感,且在紧凑的仪器空间不需要专门的屏蔽保护,因而课大大简化仪器的结构和制造成本,在各种便携式仪器,仪表将会越来越广泛的应用。特别是在电池供电的单片机产品中,液晶显示更是必选的显示器件。 1.2课题设计目标 本设计是基于AT89C51芯片单片机为主控芯片,结合1602液晶显示模板等外围电路,通过软件程序,来实现液晶显示英文字母。本次设计的目的在于利用单片机和IIC技术来显示英文字母。 1.3课程设计的主要工作 (1)对系统的各个模块的各个功能进行深入分析和研究,在对课题所采用的方案进行可行详细的研究后设计具体功能电路。 (2)熟悉所选芯片的功能并完成具体电路设计。

(3)对系统的最终指标进行测试,针对系统的不足,进行分析并提出一些改正方法。 1.4 设计要求 (1)运行IIC总线技术。 (2)循环显示字母。 第二章硬件设计 2.1 LCD1602简介 2.1.1 LCD1602引脚功能 LCD1602引脚如图2.1所示 图2.1 LCD1602引脚图 引脚图的功能如表2—1所示

脉冲按键电话按键显示器设计报告要点

课程设计成果说明书 题目:脉冲按键电话按键显示器学生姓名: 学号: 学院: 班级:指导教师: 2011年 6 月 12 日

课程设计任务书 2010 —2011 学年第2 学期 学院机电工程学院班级 A09电气(1)、(2)专业电气 学生姓名(学号) 课 程 名 称 数字电子技术课程设计 设计 题目 脉冲按键电话按键显示器 完成 期限 自 2010年 6 月 30 日至 2010 年 7 月 6 日共 1 周 设计依据 电话是人们日常生活中通话的工具,现设计具有12位显示的电话按键显示器。

设计要求及主要内容 1、能准确反映按键数字,例如按下“5”,则显示器显示5。 2、显示器显示从地位向高位移位,逐位显示按键数字,最低位为当前输入位。 3、重按键时,能首先清除显示; 4、在挂机2min后或按熄灭按键,熄灭显示器显示。 参考资料 1、彭介华主编《电子技术课程设计指导》第一版北京:高等教育出版社,1997。 2、郁汉琪编《数字电子技术实验及课题设计》。北京:高等教育出版社,1995。 指导 教 师签字 日期 摘要:本次课程设计的目的是为了巩固我们对数字电子技术课程所学过的内容,能够运用课程中所掌握的数字电路的分析和设计方法解决实际问题,培养分析问题,解决问题的能力。我们在设计此课题中要求设计一个具有12位显示的电话按键显示器。能准确显示按键数字,并且数字依次从右向左移动显示,最低位为当前输入位。同时设置清除键,能使显示器立即清除当前的显示。最后要设计一个震荡器,使其产生1HZ的脉冲,来做定时电路。在挂机2min后或者按熄灭按键,能达到熄灭显示器显示的功能。在这个设计中,我们用到了编码器,移位寄存器,译码显示器等芯片及元器件。对于它们的工作特性,会有进一步的理解。

实验五vga图显示控制

实验五 VGA图像显示控制 一实验目的 1.进一步掌握VGA显示控制的设计原理。 2.了解图像的存储和读取方法。 3.进一步掌握4×4键盘或PS/2键盘接口电路设计方法。 4.掌握状态机设计复杂控制电路的基本方法。 二硬件需求 1.EDA/SOPC实验箱一台。 三实验原理 VGA图像显示控制利用实验三中学习的《VGA显示控制模块》显示存储于ROM中的图像数据。 要显示的图像是四块64*64像素大小的彩色图片,通过键盘控制可以选择不同的图片显示。图像可以在屏幕上移动(水平和垂直方向每帧分别移动“H_Step”点和“V_Step”点),通过键盘改变“H_Step”和“V_Step”的值即可改变其移动速度和方向。图像碰到屏幕边沿会反弹回去。如图5.1所示 H_Step V_Step 图5.1 VGA图像显示控制示意图 实验中要用到4×4键盘、VGA显示控制、ROM等模块,在《实验三常用模块电路的设计》中已经讲述,可以直接使用已做好的模块(可能需要修改部分代码)。键盘也可以使用PS/2接口键盘,这样可以输入更多的字符。 四实验内容 1、图5.2是整个设计的顶层电路。

图5.2 VGA图像显示控制顶层电路图 2、实验三中学习的几个模块 ①“Read_Keyboard”模块与实验三中的4×4键盘模块一致; ②四个ROM模块使用宏功能模块实现,并设置其内存初始化文件分别为“FBB.mif”、“dog.mif”、“cat.mif”、“flower.mif”,如图5.3所示。当然也可以用其他图片(大小为64*64)使用“BmpToMif”软件生成对应的“mif”文件,如图5.4所示。

课程设计报告【模板】

模拟电子技术课程设计报告设计题目:直流稳压电源设计 专业电子信息科学与技术 班级电信092 学号 200916022230 学生姓名夏惜 指导教师王瑞 设计时间2010-2011学年上学期 教师评分 2010年月日

昆明理工大学津桥学院模拟电子技术课程设计 目录 1.概述 (2) 1.1直流稳压电源设计目的 (2) 1.2课程设计的组成部分 (2) 2.直流稳压电源设计的内容 (4) 2.1变压电路设计 (4) 2.2整流电路设计 (4) 2.3滤波电路设计 (8) 2.4稳压电路设计 (9) 2.5总电路设计 (10) 3.总结 (12) 3.1所遇到的问题,你是怎样解决这些问题的12 3.3体会收获及建议 (12) 3.4参考资料(书、论文、网络资料) (13) 4.教师评语 (13) 5.成绩 (13)

昆明理工大学津桥学院模拟电子技术课程设计 1.概述 电源是各种电子、电器设备工作的动力,是自动化不可或缺的组成部分,直流稳压电源是应用极为广泛的一种电源。直流稳压电源是常用的电子设备,它能保证在电网电压波动或负载发生变化时,输出稳定的电压。一个低纹波、高精度的稳压源在仪器仪表、工业控制及测量领域中有着重要的实际应用价值。 直流稳压电源通常由变压器、整流电路、滤波电路、稳压控制电路所组成,具有体积小,重量轻,性能稳定可等优点,电压从零起连续可调,可串联或关联使用,直流输出纹波小,稳定度高,稳压稳流自动转换、限流式过短路保护和自动恢复功能,是大专院校、工业企业、科研单位及电子维修人员理想的直流稳压电源。适用于电子仪器设备、电器维修、实验室、电解电镀、测试、测量设备、工厂电器设备配套使用。几乎所有的电子设备都需要有稳压的电压供给,才能使其处于良好的工作状态。家用电器中的电视机、音响、电脑尤其是这样。电网电压时高时低,电子设备本身耗供电造成不稳定因家。解决这个不稳定因素的办法是在电子设备的前端进行稳压。 直流稳压电源广泛应用于国防、科研、大专院校、实验室、工矿企业、电解、电镀、充电设备等的直流供电。 1.1直流稳压电源设计目的 (1)、学习直流稳压电源的设计方法; (2)、研究直流稳压电源的设计方案; (3)、掌握直流稳压电源的稳压系数和内阻测试方法。 1.2课程设计的组成部分 1.2.1 设计原理

数字图像处理课程设计报告

课程设计报告书课程名称:数字图像处理 题目:数字图像处理的傅里叶变换 学生姓名: 专业:计算机科学与技术 班别:计科本101班 学号: 指导老师: 日期: 2013 年 06 月 20 日

数字图像处理的傅里叶变换 1.课程设计目的和意义 (1)了解图像变换的意义和手段 (2)熟悉傅里叶变换的基本性质 (3)热练掌握FFT的方法反应用 (4)通过本实验掌握利用MATLAB编程实现数字图像的傅里叶变换 通过本次课程设计,掌握如何学习一门语言,如何进行资料查阅搜集,如何自己解决问题等方法,养成良好的学习习惯。扩展理论知识,培养综合设计能力。 2.课程设计内容 (1)熟悉并掌握傅立叶变换 (2)了解傅立叶变换在图像处理中的应用 (3)通过实验了解二维频谱的分布特点 (4)用MATLAB实现傅立叶变换仿真 3.课程设计背景与基本原理 傅里叶变换是可分离和正交变换中的一个特例,对图像的傅里叶变换将图像从图像空间变换到频率空间,从而可利用傅里叶频谱特性进行图像处理。从20世纪60年代傅里叶变换的快速算法提出来以后,傅里叶变换在信号处理和图像处理中都得到了广泛的使用。 3.1课程设计背景 数字图像处理(Digital Image Processing)又称为计算机图像处理,它是指将图像信号转换成数字信号并利用计算机对其进行处理的过程。是通过计算机对图像进行去除噪声、增强、复原、分割、提取特征等处理的方法和技术。 3.2 傅里叶变换 (1)应用傅里叶变换进行数字图像处理 数字图像处理(digital image processing)是用计算机对图像信息进行处理的一门技术,使利用计算机对图像进行各种处理的技术和方法。 20世纪20年代,图像处理首次得到应用。20世纪60年代中期,随电子计算机的发展得到普遍应用。60年代末,图像处理技术不断完善,逐渐成为一个新兴的学科。利用数字图像处理主要是为了修改图形,改善图像质量,或是从图像中提起有效信息,还有利用数字图像处理可以对图像进行体积压缩,便于传输和保存。数字图像处理主要研究以下内容:傅立叶变换、小波变换等各种图像变换;对图像进行编码和压缩;采用各种方法对图像进行复原和增强;对图像进行分割、描述和识别等。随着技术的发展,数字图像处理主要应用于通讯技术、宇宙探索遥感技术和生物工程等领域。

LCD液晶显示器设计毕业论文毕业论文

东莞理工学院本科毕业设计 毕业设计题目:LCD电子显示屏的控制和界面设计学生: 学号: 院系:电子工程学院 专业班级: 指导老师及职称: 起止时间:2010年4月——2010年5月

LCD液晶显示器设计毕业论文毕业论文 目录 一、摘要- - - - - - - - - - - - - - - - - - - - - - - - - - ------------------3 二、作品意义- - - - - - - - - - - - - - - - - - - - - - - - -----------------3 三、硬件设计- - - - -- - - - - - - - - - - - - - - - - - - - ----------------4 四、软件设计 - - - - - - - - - - - - - - - - - - - - - - - - ----------------5 五、设计调试 - - - - - - - - - - - - - - - - - - - - - - - ----------------8 六、指令说明- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -- - - - - - ---9 七、心得体会 - - - - - - - - - - - - - - - - - - - - - - - - ---------------12 八、致谢- - - - - - - - - - - - - - - - - - - - - - - - - - ------------------13 九、参考文献- - - - - - - - - - - - - - - - - - - - - - - - ----------------13 十、源程序与原理图 - - - - - - - - - - - - - - - - - - -- -

VGA显示控制

基于FPGA 的VGA显示控制 摘要 VGA(Video Graphics Array)即视频图形阵列,是IBM公司1987年推出的一种传输标准,具有分辨率高、显示速率快、颜色丰富等优点,在彩色显示器领域得到了广泛应用。 本次课程设计是基于FPGA和主芯片为 EP4CE30F23C8N的ALTER公司的开发板Cyclone IV来实现的。数字图像信息在VGA接口显示器正确、完整地显示,涉及到时序的构建和数字图像信息的模拟化两方面,提出一种能够广泛应用的VGA显示接口方案,详细阐述了数字图像数据DA转化并输出到VGA接口显示器显示的方法,其中包括接口的硬件设计、视频DA转换器的使用方法、通过FPGA构造VGA时序信号的方法等等。方案可以应用于各种仪器,数字视频系统、高分辨率的彩色图片图像处理、视频信号再现等。 课设主要用到的芯片是ADV7123,它是一款高速、高精度数模转换芯片。拥有三路十位D/A转换器,能够将代表颜色的数据锁存到数据寄存器中,然后通过D/A 转换器转换成模拟信号输出,得到我们要的色彩。

VGA显示的硬件设计和原理 1.1 FPGA主芯片 课程设计所用开发板的主芯片是EP4CE30F23C8N——Cyclone IV,其由Altera公司开发,值得注意的是该开发板所支持的QUARTUS II的版本较高,并且11.0的版本较12.0的版本编译好的程序更好下载。 图-1 1.2 ADV7123 实现VGA的控制显示主要用到的芯片就是ADV7123,ADV7123由完全独立的三个I0位高速D/A转换器组成,RGB(红绿蓝)视频数据分别从R9~R0、G9~G0、B9~B0输入,在时钟CLOCK的上升沿锁存到数据寄存器中,然后经告诉D/A转换器转换成模拟信号。三个独立的视频D/A转换器都是电流型输出,可以接成差分输出,也可以接成单端输出。DE2-115上按单端输出,在模拟输出端用75欧姆电阻接地,以满足工业标准。低电平有效的BLANK信号为复合消隐信号,当BLANK为低电平时,模拟视频输出消隐电平,此时从R9~R0,G9~G0,B9~B0输入的所有数据被忽略。BLANK和SYNC都是在CLOCK 的上升沿被锁存的。 图-2是ADV7123的功能原理图:

课程设计报告(模板)

《地震勘探课程设计》 报告 院系 班级 学生 学号 指导教师 完成日期2014年3月12日 长江大学工程技术学院

目录 一、课程设计目的 (3) 二、课程设计的容 (3) 三、课程设计原理 (3) 四、工区数据 (4) 五、课程设计步骤 (5) 1、建立工区 (5) 2、资料加载 (8) 3、层位标定和层位追踪 (10) 4、断层解释 (13) 5、构造图绘制 (14) 六、心得体会 (15)

一、课程设计目的 地震勘探解释课程设计是我们勘查技术与工程专业和资源勘查工程专业教学中的一个重要的实践性训练环节,通过上机实际操作,训练我们对地震资料进行常规构造解释的实际能力,最终使我们达到:学会利用地震解释软件来进行地震数据的加载,地震层位的标定,地震层位的追踪对比,在地震资料上分析和解释各种断层,以及地震构造图的编制方法。同时,还要学会综合地震地质资料对构造解释结果进行分析,进而对含油气有利地带进行评价和预测,最终编制成果报告。 二、课程设计的容 本次课程设计是理论联系实际的具体表现,是培养学生分析问题、解决问题能力的一个必不可少的环节,主要分为两部分:一、通过对地震资料解释软件Discovery的使用,追踪解释层位数据;二、通过surfer软件学习成图。使学生对地震常用的解释软件有一个初步的认识,能为毕业后从事地震勘探工作奠定良好的基础。地震解释课程设计是勘查技术与工程专业教学中的一个重要的实践性训练环节。通过实验主要训练学生对地震资料进行常规构造解释的实际能力,具体要使学生达到: 1.了解人机联作的基本知识; 2.初步学会地震解释软件的操作流程(工区建立、资料加载、合成记录制作、层位标定、层位追踪、断层解释、断点组合); 3. 进一步巩固和掌握地震资料解释的基本功; 4.初步学会地震成果的地质分析; 5.初步学会编写地震资料解释文字报告;

图像处理课程设计

《图像处理技术应用实践》课程设计题目图像增强算法综合应用 学生姓名韩帅_______ 学号 院系计算机与软件学院 专业计算机科学与技术 范春年____ 噪声,不同的去噪方法效果不同,因此应该采用不同的去噪方法以达到最好的去噪效果。? (2)随机噪声应在空间域去除,而空域去噪方法中,中值滤波法效果最好。? (3)周期噪声应在频域中消去。?

(4)去除噪声后的图像仍然可以改善处理。? (5)均方误差评估去噪处理后图像的去噪效果。 2.2算法设计? (1)读入初始图片及加噪图片。? clc;?clear;? f=imread();? ? for?j?=?1?:?N? ???????d?=?sqrt((i-m)^2+(j-n)^2);? ????? h?=?1/(1+0.414*(d/d0)^(2*nn));??%?计算低通滤波器传递函数??????????? ?result(i,j)?=?h?*?G(i,j);???????? end???

end (4)计算均方误差评估去噪效果。? [m?n]=size(p);?l=f-p;? he=sum(sum(l));? avg=he/(m*n); ?k=l-avg;? result1=(sum(sum(k.^2)))/(m*n);? for i=1:M for j=1:N d=sqrt((i-m)^2+(j-n)^2); h=1/(1+0.414*(d/d0)^(2*nn)); %h=1/(1+(d/d0)^(2*nn)); %备用 G(i,j)=h*G(i,j); end end p=uint8(real(ifft2(ifftshift(G)))); subplot(341);imshow(f),title('原图'); subplot(345);imshow(log(abs(f2)),[]),title('频谱'); subplot(349);imhist(f),title('原图'); subplot(342);imshow(g),title('噪声');

LED点阵显示屏设计报告

西安邮电大学 开发性实验结题报告 学院:电子工程学院 班级:光信1201 姓名:袁云飞学号:05123010 班级:光信1201 姓名:赵晓伟学号:05123019 班级:光信1201 姓名:陶鹏江学号:05123018 237团队 2014年3月30日

16 32点阵LED电子显示屏 摘要: 本设计是一16×32点阵LED电子显示屏的设计。 整机以美国ATMEL 公司生产的40脚单片机AT89C52为核心,介绍了以它为控制系统的LED点阵电子显示屏的动态设计和开发过程。通过该芯片控制两个行驱动器74HC573和四个列驱动器74HC573来驱动显示屏显示。该电子显示屏可以显示各种文字或单色图像,全屏采用8块8×8点阵LED显示模块来组成16×32点阵显示模式。文中详细介绍了LED点阵显示的硬件设计思路、硬件电路各个部分的功能及原理、相应软件的程序设计,以及使用说明等。 单片机控制系统程序采用单片机C语言进行编辑,通过编程控制各显示点对应LED阳极和阴极端的电平,就可以有效的控制各显示点的亮灭。LED显示以其组构方式灵活、显示稳定、功耗低、寿命长、技术成熟、成本低廉等特点得到广泛的应用。 关键词:AT89C51单片机;LED;点阵显示;动态显示;C语言。 一绪论 LED显示屏是利用发光二极管点阵模块或像素单元组成的平面式显示屏幕。它具有发光效率高、使用寿命长、组态灵活、色彩丰富以及对室内外环境适应能力强等优点。并广泛的应用于公交汽车,码头,商店,学校和银行等公共场合的信息发布和广告宣传。LED显示屏经历了从单色,双色图文显示屏到现在的全彩色视频显示屏的发展过程,自20世纪八十年代开始,LED显示屏的应用领域已经遍布交通、电信、教育、证券、广告宣传等各方面。 1 LED点阵显示屏概述 LED点阵显示屏的构成型式有多种,其中典型的有两种。一种把所需展示的广告信息烧写固化到EPROM芯片内,能进行固定内容的多幅汉字显示,称为单显示型;另一种在机内设置了字库、程序库,具有程序编制能力,能进行内容可变的多幅汉字显示,称可编程序型。 目前,国内的LED点阵显示屏大部分是单显示型,其显示的内容相对较少,

数电实验-vga图像显示控制

数字电路综合实验 报告 学校:北京邮电大学 学院:信息与通信工程学院 专业:通信工程 班级:2008211115 学号:08210460 班内序号:30 姓名:唐秋月 2011年1月5日

VGA图像显示控制器 一、摘要和关键词 摘要:VGA显示屏显示采用逐行扫描的方式解决,阴极射线枪发出电子束打在涂有荧光粉的荧光屏上,产生GRB基色,合成一个彩色像素。扫描从屏幕的左上方开始,从左到右,从上到下,逐行扫描,每扫完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT对电子束进行消隐,每行结束时,用行同步信号进行行同步;扫描完所有行,用场同步信号进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,并预备进行下一次的扫描。通过控制扫描计数器不同值时对RGB三原色信号的控制,来完成显示设计。 关键词:行列扫描行列同步RGB三原色控制 二、设计任务要求 实验目的 1. 熟练掌握VHDL 语言和QuartusII 软件的使用; 2. 理解状态机的工作原理和设计方法; 3. 掌握利用EDA 工具进行自顶向下的电子系统设计方法; 4. 熟悉VGA 接口协议规范。 实验要求: 设计一个VGA 图像显示控制器,达到如下功能: 显示模式为640×480×60HZ 模式; 用拨码开关控制R、G、B(每个2 位),使显示器可以显示64种纯色; 在显示器上显示横向彩条信号(至少6 种颜色); 在显示器上显示纵向彩条信号(至少8 种颜色); 在显示器上显示自行设定的图形、图像等。 选做:自拟其它功能。 三、实验原理 1、显示控制原理 常见的彩色显示器一般由阴极射线管(CRT)构成,彩色由GRB(Green Red Blue)基色组成。显示采用逐行扫描的方式解决,阴极射线枪发出电子束打在涂有荧光粉的荧光屏上,产生GRB基色,合成一个彩色像素。扫描从屏幕的左上方开始,从左到右,从上到下,逐行扫描,每扫完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT、对电子束进行消隐,每行结束时,用行同步信号进行行同步;扫描完所有行,用场同步信号进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,并预备进行下一次的扫描。VGA显示控制器控制CRT显示图象的过程如图1所示

课程设计报告模版

课程设计报告模版

《城市排水处理》 课程设计报告 系别:城市建设系 专业班级:给水排水0601班 学生姓名: 指导教师:段泽琪 (课程设计时间: 6月15日—— 6月19日) 华中科技大学武昌分校

目录 1.课程设计目的 (1) 2.课程设计题目描述和要求 (1) 3.课程设计报告内容 (3) 3.1污水处理工艺方案比较 (3) 3.2主要污水处理构筑物选型 (6) 3.3污水处理构筑物的主要设计参数 (7) 3.4污水处理辅助构筑物设计 (8) 3.5污水处理厂平面布置设计 (8) 3.6 污水处理厂高程布置设计 (9) 3.7 设计计算………………………………………………………………………

10 4.总结……………………………………………………………………………页码 参考文献…………………………………………………………………………页码 (要求:目录题头用三号黑体字居中书写,隔行书写目录内容。目录中各级题序及标题用小四号黑体)

1. 课程设计目的 (1) 经过污水处理厂课程设计,巩固学习成果,加深对《水污染控制》课程内容的学习与理解,使学生学习使用规范、手册与文献资料,进一步掌握设计原则、方法等步骤,达到巩固、消化课程的主要内容; (2) 锻炼独立工作能力,对污水处理厂的主体构筑物、辅助设施、计量设备及污水厂总体规划、管道系统做到一般的技术设计深度,培养和提高计算能力、设计和绘图水平; (3) 在教师指导下,基本能独立完成一个中、小型污水处理厂工艺设计,锻炼和提高学生分析及解决工程问题的能力。 2.课程设计题目描述和要求 2.1 设计题目描述 (1) 设计题目 某城市污水处理厂工艺初步设计。 (2) 设计内容 根据任务书所给定的资料,综合运用所学的基础、专业基础和专业知识,设计一个中小型污水处理厂。 ①确定污水处理方法和工艺流程; ②选择各种处理构筑物形式,并进行工艺设计计算(计算书中要附计算草图); ③估算各辅助构筑物的平面尺寸; ④进行污水厂平面布置和高程布置。

相关文档
相关文档 最新文档