文档库 最新最全的文档下载
当前位置:文档库 › FTU硬件详细设计说明书

FTU硬件详细设计说明书

FTU硬件详细设计说明书
FTU硬件详细设计说明书

FTU硬件详细设计说明书产品线:配电终端

产品类别:

产品型号:

产品版本:

批准:

审核:

初审:

编写:

1.引言.................................. 错误!未指定书签。

1.1.前言............................ 错误!未指定书签。

1.2.文档术语........................ 错误!未指定书签。

1.3.参考文档........................ 错误!未指定书签。

2.开发环境.............................. 错误!未指定书签。

3.硬件详细设计.......................... 错误!未指定书签。

3.1.系统架构........................ 错误!未指定书签。

3.2.主板............................ 错误!未指定书签。

主板硬件框图................. 错误!未指定书签。

模块1:CPU核心板............ 错误!未指定书签。

模块2:时钟模块.............. 错误!未指定书签。

模块3:无线通讯.............. 错误!未指定书签。

模块6以太网接口............. 错误!未指定书签。

RS232/RS485电路.............. 错误!未指定书签。

SD卡模块电路................. 错误!未指定书签。

直流量采集模块............... 错误!未指定书签。

USBHOST接口.................. 错误!未指定书签。

3.3.遥控遥信板...................... 错误!未指定书签。

硬件框图..................... 错误!未指定书签。

遥信电路模块................. 错误!未指定书签。

遥控电路模块................. 错误!未指定书签。

3.4.遥测板.......................... 错误!未指定书签。

遥测板框图................... 错误!未指定书签。

遥测电路模块................. 错误!未指定书签。

电源模块..................... 错误!未指定书签。

.................................. 错误!未指定书签。

元器件总成本:............... 错误!未指定书签。

3.5.硬件测试方法.................... 错误!未指定书签。

4.FPGA逻辑设计 ......................... 错误!未指定书签。

4.1.子板逻辑........................ 错误!未指定书签。

架构概述..................... 错误!未指定书签。

4.2.主板逻辑........................ 错误!未指定书签。

5.结构工艺设计.......................... 错误!未指定书签。

5.1.外观设计........................ 错误!未指定书签。

外形结构..................... 错误!未指定书签。

铭牌......................... 错误!未指定书签。

终端内部结构................. 错误!未指定书签。

5.2.组屏方案........................ 错误!未指定书签。

5.3.其他............................ 错误!未指定书签。

5.4. ................................. 错误!未指定书签。

1.引言

1.1. 前言

1.2. 文档术语

1.3. 参考文档

2.开发环境

硬件设施:普通个人PC

软件:protel99se

Cadence16.3

3.硬件详细设计

3.1. 系统架构

3.2. 主板

3.2.1.主板硬件框图

3.2.2.CPU核心板

3.2.2.1. 功能:

保存各种数据,参数设置等其他需要保存的数据及给各功能模块提供逻辑接口。

3.2.2.2. 接口描述:

32位RISC嵌入式ARM9+DSP内核CPU:

OMAPL138ZWT,通过内置DDR2/mDDR控制器接口外扩1片32M/16位或64M/16位DDR2SDRAM:MT47H32M16HR/MT47H64M16HR;

通过内置外部存储器接口(EMIFA)外扩1片128MBytesNandFLASH:MT29F1G08ABAEAWP-IT和一片FPGA:ALTERAEP3C25F256;

通过内部集成的网络接口控制一片网络芯片:LAN8720A;

CPU通过一个PWM口作为看门狗的定时喂狗信号来控制CPU的复位脚;

此外,CPU核心板把CPU内部集成的外设接口(例如USB、UART、IIC、SPI、MMC/SD等)和GPIO口及FPGA的LVDS口引出到核心板接口上供其他功能模块接口使用。

3.2.2.3. 设计原理:

1)DDR2

因OMAPL138ZWT内部集成的RAM较小,需外扩一片RAM,可利用芯片内置的DDR2/mDDR控制器接口外扩一片32M或64 M容量的DDR2SDRAM:MT47H32M16HR或MT47H64M16HR接口如Figure15-19所示,引脚定义如Table15-1所示;

为满足信号完整性要求,需要在信号线进行端接处理。因只接了一片DDR芯片所以采用串行端接,原理图如下:

2)NANDFLASH

因OMAPL138ZWT内部集成的ROM较小,需外扩一片NANDFLASH,可利用芯片内置的EMIFA接口外扩一片1Gb或2Gb容量的NANDFLASH:MT29F1G08ABAEAWP-IT或MT29F2G08ABAEAWP-IT。外部存储器接口如Figure20-1所示,引脚定义如Table20-1、Table20-2、Table20-3所示;

外扩NANDFLASH如Figure20-14所示

为了减少R/B#脚的延时时间,R/B#脚上接1K的上拉电阻。原理图如下:

3)FPGA

因FTU需要采集的交流信号及遥信信号和控制的遥控信号众多,可利用EMIFA接口接一片FPGA进行预处理。核心板上的FPGA与功能板上的FPGA通过LVDS进行点对点通讯,将得到数据存放在各功能板相对应的存储区里供CPU读取。从而提高系统的实时性和简化电路设计。将FPGA作为SRAM存储器挂在CPU的EMIFA接口上,其接口示意图如Figure20-18的红圈部分所示;

LVDS接口需外加端接电阻,参数见FPGA数据手册,其原理图如下。注:因所用FPGA芯片的真实的LVDS口不足,需使用一路仿真LVDS 口。其端接电阻与真实的LVDS接口的不同。

4)以太网

以太网芯片采用RMII接口的LAN8720A,CPU通过内部集成的EMAC (RMII)和MDIO与LAN8720A相连,来建立以太网的物理层连接,其接口示意图如Figure19-3所示,引脚定义如Table19-2所示

外围电路见数据手册,其原理图如下:

5)看门狗

看门狗电路选用的是Sipex公司的SP706REN-L,复位周期1.6S,持续时间200mS,采用软硬件控制,软件方式:CPU通过控制PWM口的输出来控制看门狗电路;硬件方式:通过按键控制/MR的电平来控制看门狗电路,原理图如下:

6)供电电路

为了防止输入电压过高保护后级的电源管理芯片,在+5V电源输入端加一保护电路,当输入高于5.8V是输出关断,外加一个LED用以指示。当5V_IN>5.8V时,LED亮。

a)CPU供电:

CPU供电为一多电源供电系统,其供电电流和上电顺序要求如下:

电源管理芯片采用TI公司的TPS650250RHBR,通过控制DC-DC 使能端来控制各电平的上电顺序。外围电路参数见数据手册。

原理图如下:

上电顺序逻辑电路如下,上电逻辑,+5V输入时_DCDC3拉高→VDCDC3输出VCC_1V3D→拉高EN_DCDC2→VDCDC2输出VCC_1V8D→拉高

EN_DCDC1→VDCDC1输出VCC_3V3D.

b)FPGA供电

FPGA推荐供电电源参数如下表:

VCCIO采用两种电平供电,为LVDS口供电的Bank1,2,3,5,6采用2.5V 供电;其他Bank采用3.3V供电。电源芯片使用AS1301;

内核供电

LVDS总线供电

3.2.2.

4. 可靠性设计(性能,EMC):

a)静电防护:无

b)快速脉冲群防护:无

c)浪涌防护:无

3.2.2.5. 成本估计:

约380元

3.2.3.时钟模块

3.2.3.1. 功能:

为系统提供实时时钟。断电情况下该时钟能保持3年以上。

3.2.3.2. 接口描述:

时钟芯片通过SPI总线与CPU相连。

3.2.3.3. 设计原理:

断电时钟保持时间T=1200mAh*30%/(550nA)=654545小时=74年

注:假设电池容量下降到70%时时钟芯片不能正常工作,1200mAh为电池容量。

系统上电时,(3.3-0.6)>(3.6-0.6-0.6),VCC_3.3V给时钟芯片供电,仅当系统失电时3.6V电池才会给时钟芯片供电,D3为了防止3.6V给3.3V系统供电。

3.2.3.

4. 可靠性设计

本部分在公司以往各产品中使用效果良好,时钟精确度高。

3.2.3.5. 成本估计

10元

3.2.

4.无线通讯

3.2.

4.1. 功能

1、GPRS/CDMA通讯:在终端与主站之间通过公网或者专网建立无线通讯,

进行数据交换;

2、GPS通讯:通过GPS进行终端定位;

3、短距离无线通讯:本地调试用。

3.2.

4.2. 接口描述

1、GPRS/CDMA模块:

CPU通过UART与GPRS/CDMA模块进行数据通讯,通过4个GPIO控制GPRS/CDMA模块的运行及网络灯指示。

2、GPS通讯模块接口:

因主CPU串口有限(只有3路,2路用作232/485通讯、剩下1路用作GPRS/CDMA 通讯),故用软串口与GPS模块进行通讯,外加两个GPIO控制GPS模块的复位及唤醒。

下图为软串口框图。

3、短距离无线通讯:

因主CPU串口有限(只有3路,2路用作232/485通讯、剩下1路用作GPRS/CDMA 通讯),故用软串口与短距离无线模块进行通讯,外加两个GPIO控制短距离无线模块的复位及睡眠。

3.2.

4.3. 原理

硬件采用插板结构,与主板分离,保持主板不动更换不同通讯模块,支持cdma,gprs通信模块。各模块对主板的接口统一定义。

由于该模块I/O口允许的输入最大电压是VEXT,即2.9~3V,所以输入信号需添加分压电路,这样输入信号大概被调整至2.7V附近,保证正常工作,另外,电阻R725按照数据手册看,由于该引脚内部已经上拉,所以该电阻可以省略,而且最好不要焊接,因为该脚允许的外接电压最大为VDDEXT,但以往产品设计的时候,都加了该电阻,尚未发现问题。

模块原理图

电平转换电路

Sim卡接口

需要注意的是,图中D1~D4四个静电防护器必须添加,替代以往该处使用的是集成TVS芯片UCLAMP0504,节约成本。

2、GPS模块:

GPS模块选用SKG16A,通过CPU的软串口将GPS信息传输给CPU.外加收发指示灯便于观察与调试。

3、短距离无线模块:

短距离无线通讯采用上海桑锐电子科技有限公司的成品模块SRWF-1022,其提供透明数据接口,能适应任何标准或非标准的用户协议,自动过滤掉空中产生的假数据,用户无需编制多余的程序,实现所收即所发。标准配置提供8个信道,可扩展到16/32信道。满足用户多种通信组合方式。提供2个串口三种接口方式,COM1为TTL 电平UART接口。COM2为硬件的RS-232/RS-485接口,相对于软口的RS-232/RS-485接口,其带载能力更强(是软口的6到8倍),工作更加稳定。接口波特率为

1200/2400/4800/9600/19200bps可选,格式为8N1/8O1/8E1用户自定义,可传输无限长的数据帧,用户编程灵活。接口图如下;串口使用软串口。

因短距离无线通讯采用的是成品模块,故只需在电路上加一相应的接口。

3.2.

4.4. 可靠性设计

1、基于无线公网模块的应用已经积累了一定经验,总得来说仍然是驱动层及应

用程序层双重保护,驱动层通过查询模块,获取链路状态;应用程序通过与上位机的通信超时机制判断链路状态;一旦发现链路异常,即通过重启链路方式修复通信。

3.2.

4.

5. 成本估计

210元

3.2.5.以太网接口

3.2.5.1. 功能

通过以太网,建立CPU和主站的连接。

3.2.5.2. 接口描述

通过hpauto-mdix(交叉线自动侦测及切换)经过网络变压器连接到标准RJ45接头。

3.2.5.3. 设计原理

网口电路主要是根据网络芯片LAN8720A的数据手册的网口推荐电路。网络连接和传输指示灯采用独立的LED灯指示。

3.2.5.

4. 可靠性

以往产品在实验中偶发发生以太网通讯时浪涌实验引起终端损坏或者重启等现象,随后改用独立网络变压器模式,大大降低出故障概率。

3.2.5.5. 成本估计

8元

3.2.6.RS232/RS485电路

3.2.6.1. 功能

将UART信号转化成RS232信号或RS485信号,用于RS232或RS485通讯。

3.2.6.2. 接口

RS232或RS485电路用过UART口与CPU相连。

3.2.6.3. 设计原理

因RS232和RS485外接端子是共用的,故需一个双刀双掷开关进行切换。RS232电路和RS485电路沿用其他产品的成熟电路。

3.2.6.

4. 可靠性

该部分电路比较成熟,尚未发现问题

3.2.6.5. 成本估计

44.6元

3.2.7.SD卡模块电路

3.2.7.1. 功能

存储数据及参数。

3.2.7.2. 接口

SD卡模块通过CPU的内置SD卡控制器接口与CPU相连。

3.2.7.3. 设计原理

所有数据线上都加个47K的上拉电阻用来防止振荡输入将引起非期望的高电流损耗和进行卡侦测。各数据线上外加一静电防护器件防止插拔SD卡时产生的静电干扰。

3.2.7.

4. 可靠性

3.2.7.5. 成本估计

8元

3.2.8.直流量采集模块

3.2.8.1. 功能

用以采集外接电压型(0~48V)/电流型(4~20mA)传感器信号。

3.2.8.2. 接口

外部传感器信号通过直流量采集模块的信号转换后经AD芯片的IIC总线传输给CPU。

3.2.8.3. 设计原理

直流采样部分比较关键的是AD的隔离输入电路,现采用的是线性光耦电路,在有效隔离的条件下保证输入输出的线性度。应用线性光耦合器组成的模拟信号隔离电路的线性度好,电路简单,有效地解决了模拟信号与单片机应用系统的电气隔离问题。驱动级、缓冲级采用组合型运算放大器,可使线性度提高。如下图:电路主要由HCNR200型线性光耦和配套外围电路组成。HCNR200型线性光耦由发光二极管D1、反馈光电二极管D2、输出光电二极管D3组成。当D1通过驱动电流If时,发出红外光(伺服光通量)。该光分别照射在D2、D3上,反馈光电二极管吸收D2光通量的一部分,从而产生控制电流I1。该电流用来调节If以补偿D1的非线性。输出光电二极管D3产生的输出电流I2与D1发出的伺服光通量成线性比例。令伺服电流增益K1=I1/If,正向增益K2=I2/If,则传输增益

K3=K2/K1=I2/I1,K3的典型值为1。然后通过运放将I2转化成电压,供AD采集。此电路中K1=I1/IF≈R180/R181=20/10000=0.2%,I2≈

I1=0.2%IF,V AD_DC2=R184*I2=136*IF≈136*I DC2。稳压管D55用于提供5.1V电压供运放工作。VCC通过R185、R186分压后给运放U35提供一个偏置电压,以补偿U37,D55损耗的电流。当输入为电压时,通过拨码开关使输入信号接到电压转电流电路,I=(DCV1*R65/(R65+R63))/R52

=0.377*DCV1(mA),DCV1=0~48V,故I=0~18.1mA。

3.2.8.

4. 可靠性

3.2.8.5. 成本估计

25元

https://www.wendangku.net/doc/7e18375773.html,BHOST接口

3.2.9.1. 功能

用于本地程序升级及调试

3.2.9.2. 接口

USBHOST接口通过CPU内置的USB2.0接口与CPU相连,支持USB2.0高速(480Mbps)全速(12Mbps)/低速(1.5Mbps)三种模式。

3.2.9.3. 设计原理

1原理图如下:

因CPU内部集成了USB2.0控制器,所以只需在电路上加一接口电路。此电路沿用其他产品的成熟电路。

3.2.9.

4. 可靠性

该电路经过之前的产品反复验证,已经基本成熟,风险较低。

3.2.9.5. 成本估计

1.5元

3.3. 遥控遥信板

3.3.1.硬件框图

3.3.2.遥信电路模块

3.3.2.1. 功能

用于外部24V直流开入信息的可靠采集,并通过FPAG实现的LVDS总线上送信息到主板。

3.3.2.2. 接口

提供给外部开入信号的为无源24V共负极接口方式,物理接口端子为间距3.5mm的插拔式接线端子;与主板交换信息给的接口方式为LVDS高速差分总线,物理接口端子为哈丁板卡连接端子。

3.3.2.3. 原理设计

1、模块由信号处理电路部分和采集上传部分组成。单路信号处理电路原理如下图:

2、采集上送功能电路在FPGA芯片EP4CE6E22C8内部实现。96路经上述信号处理电路传输过来的信号输入到FPGA的I/O口,芯片把I/O口信息直接采集存储到内部寄存器,在接受到主板通过LVDS发送过来的查寻要求时,再把内部寄存器的信息调理成LVDS信号发送到主板上的FPGA信息处理芯片上。

3、考虑到系统机械结构和信号的实际分布情况,把信号设计成6路一组,4组信号分布在一块系统插板上,最多4块插板能实现采集96路外部信号,4块插板通过LVDS与主板实现点对点通讯式信息交换。

3.3.2.

4. 可靠性

1、信号处理电路经过前期的FTU产品反复验证,成熟,可靠。EMC性能达标。

2、采集上送功能电路由可编程芯片实现,且LVDS模块基本成熟,风险较低。

3.3.2.5. 成本估计

单路材料成本包含光耦、电阻、电容、二极管、发光管等。批量采购估计为:1.2元/路。

3.3.3.遥控电路模块

3.3.3.1. 功能

通过FPAG实现的LVDS总线接受主板的遥跳、遥合命令信息,并通过I/O 口控制驱动板载功率继电器动作,从而实现对外部开关装置的控制功能。

3.3.3.2. 接口

提供给外部开关装置的接口为继电器无源空触点,带载能力为:10A220VAC 或10A30VDC,物理接口端子为间距5.08mm的插拔式接线端子;与主板的接口方式为LVDS高速差分总线,物理接口端子为哈丁板卡连接端子。

3.3.3.3. 原理设计

1、模块的驱动输出部分原理图如下:

2、设计思路是:主板信息通过LVDS总线传输过来,FPGA芯片EP4CE6E22C8内部实现LVDS差分信号的接收解析,确认需控制的对应I/O管脚,把对应管脚由默认的高电平输出,转换成低电平输出,对应的管脚连接到如上图中的YK1标识处驱动光耦,通过光耦实现隔离驱动功率继电器。

4、考虑到系统机械结构和信号的实际分布情况,把信号设计成2路(遥跳、遥

合)一组,4组信号分布在一块系统插板上,最多4块插板能实现采集16组32路外部开关设备的控制,4块插板通过LVDS与主板实现点对点通讯式信息交换。

5、继电器的选型要考虑触点负荷,继电器的体积及价格。

3.3.3.

4. 可靠性

1、驱动电路经过前期的FTU产品反复验证,成熟,可靠。EMC性能达标。

2、信息接收处理功能电路由可编程芯片实现,且LVDS模块基本成熟,风险较低。

3.3.3.5. 成本估计

单路材料成本包含光耦、电阻、二极管、继电器等。批量采购估计为:13元/路。

3.4. 遥测板

3.4.1.遥测板框图

3.4.2.遥测电路模块

3.4.2.1. 功能

用于外部交流电压、电流信息的可靠采集,并通过FPAG实现的LVDS总线上送信息到主板。

3.4.2.2. 接口

输入通过装置内部高精度CT、PT获取外部交流信号,物理接口端子为间距8mm的插拔式航空接线端子;获取的交流信号经AD芯片转换并实时上传信息给主板,输出与主板的接口方式为LVDS高速差分总线,物理接口端子为哈丁板卡连接端子。

3.4.2.3. 原理设计

1、交流信号前级采集调理电路的原理如下:

2、信号的选择电路原理图如下:

电路由模拟开关芯片ADG1334构成。模拟开关对信号的隔离和信噪抑制能到达-70DB。具体性能见下图(引用自芯片数据手册)。

3、信号滤波采集电路原理如下。

此电路主要采用芯片AD7606模数转换芯片实现,AD7606工作在并行方式,无过采,模拟信号的输入范围为-5V~+5V,采用外部参考电压标准,外部参考电压由芯片REF5025A提供。

4、AD芯片控制和LVDS电路原理图如下。

FPGA芯片EP4CE6E22C8内部实现与AD芯片AD7606的总线接口电路,内部定时电路提供精准的采样间隔时间,内部寄存器组提供数据的缓存空间,内部的LVDS电路把缓存空间的数据调理成LVDS信号发送到主板。

3.4.2.4. 可靠性

1、AD采集电路前期经过反复验证,功能稳定可靠,对比以前的模拟信号电路在采样精度上有很大的提高。EMC性能达标的关键在PCB设计时要预留足够安全间距。

2、电路中预留TVS管,保护芯片不受高压损坏,并预留安全地PGND改善EMC 性能。

3、AD控制和LVDS电路由可编程芯片实现,且LVDS模块基本成熟,风险较低。

3.4.2.5. 成本估计

单路材料成本包含互感器、电阻、电容、AD部件、模拟开关部件等。批量采购估计为:25元/路。

3.4.3.电源模块

3.4.3.1. 功能

此模块把外部电源模块提供的电源经EMC可靠性处理后,分成3路24V电源。其中一路再经DCDC芯片降压处理后给装置提供可靠的24V、12V和5V电源;第二路提供给外部操作回路使用,第三路给开入回路使用。

此外本模块还实现了电源电池管理功能的控制和检测。

3.4.3.2. 接口

输入物理接口端子为间距5.08mm的插拔式端子;输出物理接口端子为哈丁板卡连接端子。

3.4.3.3. 原理设计

1、电源部分的原理图如下:

图中输入电源经共模抑制和滤波电路后再经气体放电管和压敏电阻对浪涌波形吸收处理后的24V电源一路供给DCDC隔离模块,最后再经DCDC芯片降压产生5V和12V装置内部主电源;第2、3路分别再次经共模抑制和滤波电路和气体放电管和压敏电阻处理后供给外部操作回路、开入回路使用;

2、电源电池管理功能的控制和检测原理图如下:

此电路实现装置对后备蓄电池的充放电状态的监控及控制。包括电源故障、电池欠压、活化状态采集;电池活化启动、退出控制及电池退出控制等。

3.4.3.4. 可靠性

在4级极度恶劣电磁环境下此电路对浪涌等EMC干扰的抑制性能有待进一步的验证,具有一定风险。可算是硬件设计的疑难点。

3.4.3.5. 成本估计

材料成本包含压敏电阻、安规电容、共模电感、DCDC模块、DCDC芯片等。批量采购估计成本为:250元。

3.4.4.元器件总成本:

3.5. 硬件测试方法

依据硬件设计规范要求的性能指标如信号完整性、电源纹波等,列出本次设计与性能指标对应的信号、测试点。

测试点1):CPU系统电源,+3.3V

2):DDR2芯片电源,+1.8V

3):CPU内核电源,+1.3V

4):USB内核电源,+1.2V

5):USB物理层1.8V电源,+1.8V

5):485通讯电源,+3.3V

6):直流量采集电路电源电压,+5V

7):FPGA内核电源,+1.2V

8):LVDS总线电源,+2.5V

7):SPI通讯线;

8):I2C通讯线;

9):sUART/UART通讯线;

4.FPGA逻辑设计

分为子板逻辑和主板逻辑两部分。

4.1. 子板逻辑

子板逻辑如下图:

LVDS收发部分的逻辑如下:

4.1.1.架构概述

子板FPGA主要实现AD数据采集,遥信IO口数据采集,遥控IO口操作,数据编解码,数据发送,数据接收功能,遥测参数储存等功能。

FPGA内部逻辑主要由NIOSII软核处理器、AD7606接口模块、IO接口模块、EPCSFLASH控制接口模块,FIFO控制状态机,收发FIFO以及LVDS 收发电路组成。其中各模块通过AVALONMM总线与NIOSII处理器通讯。

以下仅对重要的模块进行说明。

1)、CDR模块,原理如下:

首先通过PLL产生两个相位相差90度频率为100MHz的时钟,

利用CLK和CLK90的上升和下降沿分别对LVDS端口接收来的信号采样,如下图:

A、B、C、D分别代表CLK0度、90度、180度、270度4种不同的采集相位,

如果在AB之间发现数据有变化,则认为C点为当前数据的最佳采样点,以C点的采集数据做为本次时钟的采集数据,如果4个相位都未发生变化,则沿用上一次的最佳采样点。

2)、8B/10B编解码模块

由于CDR通过侦测数据的跳变沿来选择采样点,如果长时间数据没有变化,且发送和接收时钟频率和相位上的误差和抖动,长时间使用同一个相位的采样

点的话,会造成数据的误判,这就是所谓的直流平衡问题,针对这个问题,8B/10B编码应运而生。8B/10B编码是目前高速串行通信中经常用到的一种编码方式,直观的理解就是把8bit数据编码成10bit来传输。其工作原理如下:

8bit原始数据会分成两部分,其低5位进行5B/6B编码,高3位则进行3B/4B 编码,这两种映射关系在当时已经成为了一个标准化的表格。人们喜欢把8bit数据表示成Dxx.y的形式,其中x=5LSB,y=3MSB。

例如一个8bit数据10110101,x=10101(十进制为21)y=101(十进制为5),现在我们就把这8bit数据写成D21.5。

Dx.y形式在进行5B/6B和3B/4B编码中表示更直观,下面我们来看看两张编码表:对于8bit数据,它在表中的位序为HGFEDCBA,即H为最高位,A为最低位,EDCBA经过5B/6B编码为abcdei,HGF经过3B/4B 编码为fghj。传送10bit编码的顺序为abcdeifghi

x=18x=20且RD=-1时或者x=11x=13x=14且RD=+1时。当x=23x=27

K.28.1K.28.5在本设计中我们就是使用候补编码及其补码来做为数据的帧头和帧尾,来区分不同类型的数据。

3)、EPCSFLASH控制接口模块

此模块是利用FPGA配置芯片剩余空间来存储数据的,EPCS控制芯片在配置完FPGA以后,NIOSII处理器可调用此模块来读写EPCS控制芯片。

4.2. 主板逻辑

主板逻辑框图如下:

LVDS TX LVDS RX

DSP地址总线

DSP片选信号

DSP数据总线 16BIT 发送模块 X8

主板逻辑结构较为简单,主要由8路LVDS收发电路、EMIFA接口模块组成。其中LVDS收发电路与子板相同,EMIFA接口类似外部存储器接口,DSP通过

地址数据总线读取或写FPGA内部构成的寄存器来收发数据,这其中遥测数据采用中断方式接收,每接收20个数据产生一次中断,遥信数据采用查询方式。

5.结构工艺设计

5.1. 外观设计

5.1.1.外形结构

终端采用6U高,宽19英寸型材机箱,其外形尺寸见下图:

5.1.2.铭牌

端子定义图如下:

5.2. 结构设计

终端由背板(总线板),插件板(有CPU板、遥信/遥控板、模拟量采集板、功能扩展板及12块插件板),LCD板部分组成,各单元均采用模块化设计,方便生产、维护,也可根据客户实际需求灵活定制。

5.2.1.板件结构尺寸

背板结构尺寸:

插件板结构尺寸:

LCD板结构尺寸:

5.2.2.终端内部结构

详见《机箱结构图》

软件详细设计说明书模板

New Project 1: 详细设计说明书

1. 前言 2. 摘要 3. 系统详细需求分析 3.1. 详细需求分析 3.1.1. 详细功能需求分析 3.1.2. 详细性能需求分析 3.1.3. 详细信息需求分析 3.1. 4. 详细资源需求分析 3.1.5. 详细组织需求分析 3.1.6. 详细系统运行环境及限制条件需求分析3.1.7. 信息要求 3.1.8. 性能要求 3.2. 接口需求分析 3.2.1. 系统接口需求分析 3.2.2. 现有软、硬件资源接口需求分析

3.2.3. 引进软、硬件资源接口需求分析 4. 总体方案设计 4.1. 系统总体结构 4.1.1. 系统组成、逻辑结构 4.1.2. 应用系统结构 4.1.3. 支撑系统结构 4.1.4. 系统集成 4.1. 5. 系统工作流程 4.2. 分系统详细界面划分 4.2.1. 应用分系统与支撑分系统的详细界面划分 4.2.2. 应用分系统之间的界面划分 5. 应用分系统详细设计 5.1. XX分系统详细需求分析 5.1.1. 功能详细需求分析 5.1.2. 性能详细需求分析

5.1.3. 信息详细需求分析 5.1.4. 限制条件详细分析 5.2. XX分系统结构设计及子系统划分5.3. XX分系统功能详细设计 5.4. 分系统界面设计 5.4.1. 外部界面设计 5.4.2. 内部界面设计 5.4.3. 用户界面设计 6. 数据库系统设计 6.1. 设计要求 6.2. 信息模型设计 6.3. 数据库设计 6.3.1. 数据访问频度和流量 6.3.2. 数据库选型 6.3.3. 异构数据库的连接与数据传递方式

软件设计文档国家标准 概要设计说明书(GB8567——88)

1引言 (2) 1.1编写目的 (2) 1.2背景 (2) 1.3定义 (2) 1.4参考资料 (2) 2总体设计 (2) 2.1需求规定 (2) 2.2运行环境 (2) 2.3基本设计概念和处理流程 (3) 2.4结构 (3) 2.5功能器求与程序的关系 (3) 2.6人工处理过程 (3) 2.7尚未问决的问题 (3) 3接口设计 (3) 3.1用户接口 (3) 3.2外部接口 (3) 3.3内部接口 (4) 4运行设计 (4) 4.1运行模块组合 (4) 4.2运行控制 (4) 4.3运行时间 (4) 5系统数据结构设计 (4) 5.1逻辑结构设计要点 (4) 5.2物理结构设计要点 (4) 5.3数据结构与程序的关系 (4) 6系统出错处理设计 (5) 6.1出错信息 (5) 6.2补救措施 (5) 6.3系统维护设计 (5)

概要设计说明书 1引言 1.1编写目的 说明编写这份概要设计说明书的目的,指出预期的读者。 1.2背景 说明: a.待开发软件系统的名称; b.列出此项目的任务提出者、开发者、用户以及将运行该软件的计算站(中心)。 1.3定义 列出本文件中用到的专门术语的定义和外文首字母组词的原词组。 1.4参考资料 列出有关的参考文件,如: a.本项目的经核准的计划任务书或合同,上级机关的批文; b.属于本项目的其他已发表文件; c.本文件中各处引用的文件、资料,包括所要用到的软件开发标准。列出这些文件的 标题、文件编号、发表日期和出版单位,说明能够得到这些文件资料的来源。 2总体设计 2.1需求规定 说明对本系统的主要的输入输出项目、处理的功能性能要求,详细的说明可参见附录C。 2.2运行环境 简要地说明对本系统的运行环境(包括硬件环境和支持环境)的规定,详细说明参见附录C。

硬件设计文档规范 -硬件模板

SUCHNESS 硬件设计文档 型号:GRC60定位终端 编号: 机密级别:绝密机密内部文件 部门:硬件组 拟制:XXXX年 XX月 XX日 审核:年月日 标准化:年月日 批准:年月日

文档修订历史记录

目录 1系统概述 (3) 2系统硬件设计 (3) 2.1硬件需求说明书 (3) 2.2硬件总体设计报告 (3) 2.3单板总体设计方案 (3) 2.4单板硬件详细设计 (3) 2.5单板硬件过程调试文档 (3) 2.6单板硬件测试文档 (4) 3系统软件设计 (4) 3.1单板软件详细设计 (4) 3.2单板软件过程调试报告 (4) 3.3单板系统联调报告 (4) 3.4单板软件归档详细文档 (4) 4硬件设计文档输出 (4) 4.1硬件总体方案归档详细文档 (4) 4.2硬件信息库 (5) 5需要解决的问题 (5) 6采购成本清单 (5)

1系统概述 2系统硬件设计 2.1、硬件说明书 硬件需求说明书是描写硬件开发目标,基本功能、基本配置,主要性能指标、运行环境,约束条件以及开发经费和进度等要求,它的要求依据是产品规格说明书和系统需求说明书。它是硬件总体设计和制订硬件开发计划的依据,具体编写的内容有:系统工程组网及使用说明、硬件整体系统的基本功能和主要性能指标、硬件分系统的基本功能和主要性能指标以及功能模块的划分等 2.2、硬件总体设计报告 硬件总体设计报告是根据需求说明书的要求进行总体设计后出的报告,它是硬件详细设计的依据。编写硬件总体设计报告应包含以下内容:系统总体结构及功能划分,系统逻辑框图、组成系统各功能模块的逻辑框图,电路结构图及单板组成,单板逻辑框图和电路结构图,以及可靠性、安全性、电磁兼容性讨论和硬件测试方案等 2.3、单板总体设计方案 在单板的总体设计方案确定后出此文档,单板总体设计方案应包含单板版本号,单板在整机中的位置、开发目的及主要功能,单板功能描述、单板逻辑框图及各功能模块说明,单板软件功能描述及功能模块划分、接口简单定义与相关板的关系,主要性能指标、功耗和采用标准 2.4、单板硬件详细设计 在单板硬件进入到详细设计阶段,应提交单板硬件详细设计报告。在单板硬件详细设计中应着重体现:单板逻辑框图及各功能模块详细说明,各功能模块实现方式、地址分配、控制方式、接口方式、存贮器空间、中断方式、接口管脚信号详细定义、时序说明、性能指标、指示灯说明、外接线定义、可编程器件图、功能模块说明、原理图、详细物料清单以及单板测试、调试计划。有时候一块单板的硬件和软件分别由两个开发人员开发,因此这时候单板硬件详细设计便为软件设计者提供了一个详细的指导,因此单板硬件详细设计报告至关重要。尤其是地址分配、控制方式、接口方式、中断方式是编制单板软件的

硬件原理详细说明书(模板)

文件名称:硬件原理说明书(模板) 文件编号:A09-Q4-000073 版本号/修改码:A 文件密级:秘密 文件状态:CFC 受控标识:受控 拟制/日期:赵万坤 2009年6月9日审核/日期:张玉波 2009年6月9日 张玉波虞日跃徐毓军丛俊杰王弢贺保国 会签: 董春禄刘旭青李剑任龄钟启明 批准/日期:史洪源 2009年6月16日

修订页 本版本与旧文件(版本)的关系 无

文件名称:XXX模块硬件原理说明书 文件编号:XXX-C11-XXXXXX 项目名称:XXXXX 项目编号:XXXXXX 物料编码:XXXXXXXXXX 版本号:X/XX 文件密级:秘密 文件状态:CFC 受控标识:受控 拟制:XXXXX 年月日审核:XXXXX 年月日会签: 批准:XXXXXXX 年月日 文件发放范围:生产制造部

修订页 II / 17

目录 1 设计依据 (1) 2 规范性引用文件 (1) 3 产品功能 (1) 4 技术指标 (1) 5 接口说明 (1) 6 硬件原理说明 (2) 6.1 硬件原理框图 (2) 6.2 电路原理分析 (2) 6.2.1单通道原理 (3) 6.2.2过压保护 (4) 6.2.3AD转换 (5) 6.2.4光耦速度分析 (5) 6.2.5温漂估算 (5) 6.2.6电源分析 (6) 6.3 可编程逻辑设计说明 (6) 6.3.1管脚定义 (6) 6.3.2资源分配 (7) 6.3.3逻辑分析 (7) 6.4 板级程序资源说明 (8) 6.4.1单片机的管脚定义 (8) 6.4.2资源分配 (9) 6.5 降额设计 (10) 6.6 MTBF计算(可选) (10) 6.7 FMEA分析 (10) 6.8 时序分析(有此部分时必须) (10) 6.9 绝缘耐压分析(有此部分时必须) (10) 7 关键信号列表 (11) 8 测试点 (11) 9 配套明细表 (11) 10 电路原理图 (11) 11 可编程器件逻辑图 (11) 12 制版文件光绘图 (11) 13 设计参考资料 (12) 14 附录 (12)

FTU硬件详细设计说明书

FTU硬件详细设计说明书 产品线:配电终端 产品类别: 产品型号: 产品版本: 文件状态文档版本 作者 完成日期 编制部门硬件开发部

批准:审核:初审:编写:

1.引言 (4) 1.1.前言 (4) 1.2.文档术语 (4) 1.3.参考文档 (4) 2.开发环境 (4) 3.硬件详细设计 (5) 3.1.系统架构 (5) 3.2.主板 (5) 3.2.1.主板硬件框图 (6) 3.2.2.模块1:CPU核心板 (6) 3.2.3.模块2:时钟模块 (18) 3.2.4.模块3:无线通讯 (19) 3.2.5.模块6 以太网接口 (24) 3.2.6.RS232/RS485电路 (26) 3.2.7.SD卡模块电路 (27) 3.2.8.直流量采集模块 (28) https://www.wendangku.net/doc/7e18375773.html,B HOST接口 (30) 3.3.遥控遥信板 (31) 3.3.1.硬件框图 (31) 3.3.2.遥信电路模块 (31) 3.3.3.遥控电路模块 (33) 3.4.遥测板 (34) 3.4.1.遥测板框图 (34) 3.4.2.遥测电路模块 (34) 3.4.3.电源模块 (38) 3.4.4. (40) 3.4.5.元器件总成本: (40) 3.5.硬件测试方法 (40) 4.FPGA逻辑设计 (41) 4.1.子板逻辑 (41) 4.1.1.架构概述 (41) 4.2.主板逻辑 (44) 5.结构工艺设计 (44) 5.1.外观设计................................................................................. 错误!未定义书签。 5.1.1.外形结构......................................................................... 错误!未定义书签。 5.1.2.铭牌................................................................................. 错误!未定义书签。 5.1.3.终端内部结构................................................................. 错误!未定义书签。 5.2.组屏方案................................................................................. 错误!未定义书签。 5.3.其他......................................................................................... 错误!未定义书签。 5.4 (44)

硬件设计说明书—模板分析

项目名称: 项目编号: 文件名称: 文件编号: 版本号: 拟制:年月日审核:年月日会签: 批准:年月日 XXXXXXXXXX公司

修订页

目录 1设计依据 (1) 2参考文档 (1) 3定义、符号、缩略语 (1) 4产品功能 (1) 5技术指标 (1) 6接口说明 (2) 6.1连接器定义 (2) 6.2指示灯定义 (2) 7硬件原理说明 (2) 7.1硬件原理框图 (2) 7.2元件选型 (2) 7.2.1元器件选型基本原则 (3) 7.2.2电容选型 (3) 7.2.3电感选型 (3) 7.2.4过压防护器件选型 (3) 7.2.5连接器选型 (3) 7.3原理分析 (4) 7.4时序分析 (4) 7.5EMC设计分析 (4) 7.6可编程逻辑设计说明 (4) 7.7降额设计 (4) 7.8MTBF计算 (4) 7.9FMEA分析 (4) 8测试点 (4) 9配套明细表 (4) 10电路原理图 (4) 11制版文件光绘图 (5) 12附录 (5)

1设计依据 2参考文档 3定义、符号、缩略语 4产品功能 5技术指标 表1 技术指标

6接口说明 6.1连接器定义 表2 连接器信号定义 6.2指示灯定义 7硬件原理说明 7.1硬件原理框图 7.2元件选型 包括元器件的选型分析和选用的说明和电路分析。

7.2.1元器件选型基本原则 (1)所有元器件均为工业级。 (2)所有元器件的选用最少需满足GJB/Z 35-93《元器件降额设计准则》中降额等级的要求。 7.2.2电容选型 表?电容型号列表 7.2.3电感选型 表?电感选型列表 7.2.4过压防护器件选型 表?过压防护器件列表 7.2.5连接器选型 表?欧式连接器性能指标

公司OA系统概要设计说明书

[天泰投资集团办公自动化] 概要设计说明书 王伟 2013年7月

概要设计说明书 引言 1.1编写目的 信息化是当今世界经济和社会发展的大趋势。推进办公系统的信息化建设,对于提高现代化管理水平,提高工作效率,都具有重要意义。为了适应国际形势和公司发展的需要,必须加快办公系统信息化的发展。 对于天泰投资集团,如何充分、快捷、有效地利用企业外的大量信息,为企业的发展服务,提高企业的工作效率,是企业需要充分考虑的问题之一。随着企业规模的扩大以及服务容和办公设施的现代化,这种情况日益突出。同时为了提高单位的日常办公效率,减轻手工劳动强度,使单位的日常运营纳入高效而快捷的轨道,就必须利用现代的信息手段。现代的信息技术优势在于对信息的处理高效而精确,可以充分实现信息的共享和传输,及时地反映企业办公的变动情况,完善办公调度,提高企业服务质量,进而实现企业经营管理的信息化、科学化。 充分利用现代的信息技术,是提高企业竞争力的必要手段。天泰投资集团计划建设的办公自动化系统将以先进的信息技术为依托,通过建立全局八个部门的信息网络,全面提高办公效率,并做到信息传输自动化、公共服务远程化、公文交换无纸化、管理决策网络化,实现全局办公系统全面信息化。 本说明书给出天泰公司办公自动化系统的设计说明,包括最终实现的软件必须满足的功能、性能、接口和用户界面、附属工具程序的功能以及设计约束等。 目的在于: ?为编码人员提供依据;

?为修改、维护提供条件; ?项目负责人将按计划书的要求布置和控制开发工作全过程; ?项目质量保证组将按此计划书做阶段性和总结性的质量验证和确认。 本说明书的预期读者包括: ?项目开发人员,特别是编码人员; ?软件维护人员; ?技术管理人员; ?执行软件质量保证计划的专门人员; ?参与本项目开发进程各阶段验证、确认以及负责为最后项目验收、鉴定提供相应报告的有关人员。 ?合作各方有关部门的负责人;项目组负责人和全体参加人员。 1.2定义 本项目开发的软件,约定: 中文全称:天泰投资集团办公自动化系统 中文别称: OA 英文全称: OA 本报告用到的术语符合国家标准《软件工程术语(GB/T11475-1995)》。 参考资料 与本文直接相关的国家标准包括:(中国标准 1996年) GB8566-1995 软件生存期过程 GB8567-88 计算机软件产品开发文件编制指南 GB9385-88 计算机软件需求说明编制指南

硬件详细设计说明书

[项目名称] [模块名称] (详细设计说明书) [V1.0(版本号)] 编写单位:______________________ 拟制人:______________________ 审核人:______________________ 批准人:______________________ 编写日期:xxxx年xx月xx

目录 1引言 ..................................................................................................................................... - 3 - 1.1编写目的.................................................................................................................. - 3 - 1.2背景.......................................................................................................................... - 3 - 1.3定义.......................................................................................................................... - 3 - 1.4参考资料.................................................................................................................. - 3 -2硬件设计.............................................................................................................................. - 3 - 2.1功能.......................................................................................................................... - 3 - 2.2性能.......................................................................................................................... - 3 - 2.3输入.......................................................................................................................... - 4 - 2.4输出.......................................................................................................................... - 4 - 2.5电路模块设计.......................................................................................................... - 4 - 2.5.1模块A........................................................................................................... - 4 - 2.5.2模块B........................................................................................................... - 4 - 2.5.3模块C........................................................................................................... - 4 - 2.6各个模块之间的关系图.......................................................................................... - 4 - 2.7完整电路图................................................................................. 错误!未定义书签。3单片机软件设计.................................................................................................................. - 4 - 3.1需求概述.................................................................................................................. - 4 - 3.2软件结构.................................................................................................................. - 4 -4程序描述.............................................................................................................................. - 5 - 4.1功能.......................................................................................................................... - 5 - 4.2性能.......................................................................................................................... - 5 - 4.3输入项...................................................................................................................... - 5 - 4.4输出项...................................................................................................................... - 5 - 4.5算法.......................................................................................................................... - 5 - 4.6流程逻辑.................................................................................................................. - 5 - 4.7接口.......................................................................................................................... - 5 - 4.8存储分配.................................................................................................................. - 5 - 4.9注释设计.................................................................................................................. - 5 - 4.10限制条件.................................................................................................................. - 5 - 4.11测试计划.................................................................................................................. - 5 - 4.12尚未解决的问题...................................................................................................... - 5 -

硬件设计流程

硬件设计流程 一、硬件设计 1.1单板设计需求 单板设计之前需要明确单板的设计需求。单板的功能属性。单板的设计目的,使用场合,具体需求包括: 1.单板外部接口的种类,接口的数量,电气属性即电平标准。 2.单板内部的接口种类,电气属性。 3.单板外部输入电源大小 4.单板的尺寸 5.单板的使用场合,防护标准 若设计中需要用到CPU,需要确定设计中需要用到的FLASH大小和需求的内存的大小和CPU的处理能力。单板设计需求中需要明确单板的名字和版本并且要以文档的形式表现出来,是后续单板设计和追溯的主要依据。 单板设计需求完成之后,需要召开项目评审会,需要对设计需求说明中各类需求逐个确认。当各类需求均满足设计需要时则进入下一步。 1.2 单板设计说明 单板需求明确后,需要开始编写单板设计说明。其中需要包括单板设计所需要的各种信息如: 1.单板设计详细方案,需要具体到用到什么芯片,什么接口。 2.器件选型,器件选型需要满足设计的需求。 3.单板功耗、单板选型之后需要确定单板的功耗,为单板散热和电源设计提供依据 4.电源设计、电源设计需要包含单板中需要用到的各类电源。若相同的电源需要做隔离 的需要做需要详细指出。 5.时钟设计,单板若是用到多种时钟,则需要描述时钟的设计方法,时钟拓扑。 6.单板的实际尺寸 7.详细描述各个功能模块给出详细的设计方法 8.详细描述各接口的设计方法和接口的电气属性。 若设计模块有多种设计方法,选择在本设计中最佳的设计方案。若软件对单板中用到的器件有独特的要求,需要明确指出(如对某些制定管脚的使用情况)。除了各个功能模块之外单板设计说明中需要详细描述接口的防护方法。设计说明需要以文档的形式给出,是单板设计过程中重要的文档,其中需要包括单板的名称和单板的版本。如果有条件单板设计说明完成后项目中进行评审。 1.3原理图设计 设计说明完成之后就要开始单板的原理图设计,单板设计说明是单板原理图设计的重要依据。原理图设计之气需要确定单板设计用用到的各个器件原理图库中是否具有原理图符号,如果没有需要提前绘制。新绘制的原理图符号需要反应器件的电气属性,器件型号,最好包含品号信息,绘制完成之后将其放到相应的库中,原理图设计需要包含: 1.各个器件接口的正确电气连接。 2.原理图中的各个器件需要有单独的位号。 3.原理图中需要包含安装孔和定位孔。 4.原理图中的兼容设计或者在实际应用中不需要焊接的器件需要在原理图中明确标出。 原理图的名字需要和单板的名字一致。考虑到单板上所用器件可能会有较长的采购周

概要设计说明书

XXX项目 概要设计说明书 文档修订记录 1. 引言 术语和缩写 本文用到的术语符合国家标准《软件工程术语( GB/T11475-1995)?与本文直接相关的国家标准包括:(中国标准出版社1996年) GB8566-1995软件生存期过程 GB8567-88 计算机软件产品幵发文件编制指南

GB8567-88 计算机软件数据库设计说明编制指南 GB/T11457-1995 软件工程术语 参考资料 设计约束 (1)需求约束 本系统应当遵循的标准或规范。 硬件、操作系统、数据库等基础架构条件描述,如能在普通PC机、windows 操作系统上运行。 页面简单、操作方便快捷。 具有较高的正确性、健壮性、可靠性、效率(性能)、易用性、清晰性、 安全性、可扩展性、兼容性、可移植性。 (2)隐含约束 用户必须具备一定的计算机使用能力 IE版本在或之上 中央处理器CPU主频800MHZ^上,内存最小128MB硬盘最小10G,以及必要的 网络设备。 设计策略 1. 扩展策略 XXX系统的软件设计采用模块化体系结构,新功能的引入不影响原有的功能模块,具有良好的可扩展性。 2. 复用策略 信息查询、统计汇总设计考虑部分通用化设计,便于复用。 3. 安全性

系统中涉及到敏感信息,系统应具备统一、完善的多级安全机制,以保证系统的安全性。 4 ?易用性 系统应提供友好的操作界面,便于用户的操作和管理。 5.灵活性 业务本身具有较多的灵活性,在系统设计时,设计上要充分考虑到灵活性。 2. 总体设计 设计规定 1、所有的数据库命名都是以模块的缩写加上具体表的英文词汇组成,这样能够统一数据库表的命名,也能够更好的规范数据库表命名。 2、所有数据库的设计,都采用Ratio nal Rose进行,并且采用面向对象的设计方法,首先进行对象实体的设计,最后将对象持久化到数据库中,所有的表和表之间的关联(ER图)都采用标准的Rose设计工具进行,这样能够将整个系统的设计和数据库设计有机的结合起来。 3、界面风格:管理界面做到美观大方、风格统一,并有一些提示信息指导用户操作使用。尽量使用选择框、下拉框等选择操作界面,方便用户的使用。 4、消息提示:中文提示,言简意赅。 运行环境 服务器操作系统:UNIX DB服务器 数据库软件的名称:Oracle 数据库软件的版本:9i 客户端操作系统:Microsoft Windows 2000/ XP ; CPU 1G;内存:256M硬盘:剩余>1G

硬件设计需求说明书(完整版)

实用文档 文档名称文档范围 硬件需求说明书内部公开 文档编号共12 页 DD301 硬件需求说明书 拟制焦少波日期2016-12-01 评审人日期 批准日期 免费共享

标准文案

实用文档 修订记录 日期修订版本描述作者2016-12-01 1.0.0 初稿完成焦少波

实用文档 目录 硬件需求说明 书 .............................................................................. . (1) 1 引 言 ........................................................................... (6) 1.1 文档目 的 ...................................................................... (6) 1.2 参考资 料 ...................................................................... (6) 2 概 述 ........................................................................... (7) 2.1 产品描 述 ...................................................................... (7) 2.2 产品系统组 成 ...................................................................... (7) 2.2.1 XXX 分系 统 .................................................................... (7) 2.2.2 XXX 分系 统 .................................................................... (7) 2.3 产品研制要 求 ...................................................................... (7) 3 硬件需求分 析 .......................................................................... (7) 3.1 硬件组 成 ...................................................................... (7) 3.1.1 XXX 分系 统 .................................................................... (8) 3.1.2 XXX 分系 统 .................................................................... (8) 3.2 系统硬件布 局 ...................................................................... (8) 3.2.1 XXX 设备布 局 ................................................................... (8) 3.2.2 XXX 设备布 局 ................................................................... (8) 3.3 系统主要硬件组 合 ...................................................................... (8) XXX 硬件模块需

概要设计说明书

XXX项目 概要设计说明书

文档修订记录

1.引言 1.1术语和缩写 本文用到的术语符合国家标准《软件工程术语(GB/T11475-1995)》。 与本文直接相关的国家标准包括:(中国标准出版社 1996年) GB8566-1995 软件生存期过程 GB8567-88 计算机软件产品开发文件编制指南 GB8567-88 计算机软件数据库设计说明编制指南 GB/T11457-1995 软件工程术语 1.2参考资料 1.3设计约束 (1)需求约束 ?本系统应当遵循的标准或规范。 ?硬件、操作系统、数据库等基础架构条件描述,如能在普通PC机、windows操作 系统上运行。 ?页面简单、操作方便快捷。 ?具有较高的正确性、健壮性、可靠性、效率(性能)、易用性、清晰性、安全性、 可扩展性、兼容性、可移植性。 (2)隐含约束 ?用户必须具备一定的计算机使用能力 ?IE版本在5.0或5.0之上 ?中央处理器CPU主频800MHZ以上,内存最小128MB,硬盘最小10G,以及必要的 网络设备。 1.4设计策略 1.扩展策略 XXX系统的软件设计采用模块化体系结构,新功能的引入不影响原有的功能模块,具有

良好的可扩展性。 2.复用策略 信息查询、统计汇总设计考虑部分通用化设计,便于复用。 3.安全性 系统中涉及到敏感信息,系统应具备统一、完善的多级安全机制,以保证系统的安全性。 4.易用性 系统应提供友好的操作界面,便于用户的操作和管理。 5.灵活性 业务本身具有较多的灵活性,在系统设计时,设计上要充分考虑到灵活性。 2.总体设计 2.1设计规定 1、所有的数据库命名都是以模块的缩写加上具体表的英文词汇组成,这样能够统一数据库表的命名,也能够更好的规范数据库表命名。 2、所有数据库的设计,都采用Rational Rose进行,并且采用面向对象的设计方法,首先进行对象实体的设计,最后将对象持久化到数据库中,所有的表和表之间的关联(ER图)都采用标准的Rose设计工具进行,这样能够将整个系统的设计和数据库设计有机的结合起来。 3、界面风格:管理界面做到美观大方、风格统一,并有一些提示信息指导用户操作使用。尽量使用选择框、下拉框等选择操作界面,方便用户的使用。 4、消息提示:中文提示,言简意赅。 2.2运行环境 2.2.1软件平台 ●服务器操作系统:UNIX ●DB服务器 数据库软件的名称:Oracle 数据库软件的版本:9i ●客户端操作系统:Microsoft Windows 2000/ XP; ●CPU:1G;内存:256M;硬盘:剩余>1G 2.2.2开发环境的配置

单板硬件详细设计报告模板

****产品详细设计报告 目录 1概述 6 1.1 背景 6 1.2 产品功能描述 6 1.3 产品运行环境说明 6 1.4 重要性能指标 6 1.5 产品功耗 6 1.6 必要的预备知识(可选) 6 2 产品各单元详细说明 6 2.1 产品功能单元划分和功能描述 6 2.2 单元详细描述 7 2.2.1 单元1 7

2.2.2 单元2 7 2.2.3 单元N (8) 2.3 产品各单元间配合描述 8 2.3.1 总线设计 8 2.3.2 时钟设计 8 2.3.3 产品上电、休眠、复位设计 8 2.3.4 各单元间的时序关系 9 2.3.5 产品整体可测试性设计 9 2.3.6 软件加载方式说明 9 3 产品电源设计说明 9 3.1 产品供电原理框图 9 3.2 产品电源各功能模块详细设计 9 4 产品接口说明 10 4.1 产品单元内部接口 10 4.2 对外接口说明 10 4.3 软件接口 10 4.4 调测接口 11

5 产品可靠性、可维护性设计说明 11 5.1 产品可靠性设计 11 5.1.1 关键器件及相关信息 11 5.1.2 关键器件可靠性设计说明 11 5.1.3 关键信号时序要求 12 5.1.4 信号串扰、毛刺、过冲及保障措施: 12 5.1.5 其他重要信号及相关处理方案 12 5.1.6 机械应力 12 5.1.7 可加工性 12 5.1.8 电应力 12 5.1.9 环境应力 12 5.1.10 温度应力 13 5.2 产品可维护性设计说明 13 6 EMC、ESD、防护及安规设计说明 13 6.1 产品电源、地的分配图 13 6.2 关键器件和关键信号的EMC设计 13 6.3 防护设计 13

硬件设计说明书

生物医学工程学院硬件设计说明书 年级:2015级 专业:生物医学工程 学生姓名:陆俊林 学号:2015 201521121032 20152 学生姓名:张慧 学号:201521120132 2017 年 5 月26 日

一.实习目的 (1)学习并掌握常用电子元件的辨识及其使用; (2)学习并掌握MSP430单片机基本原理、IAR for MSP430开发软件的使用; (3)按照图纸使用电烙铁焊接电子元件,组装一台单片机系统,并掌握其调试方法。 (4)提高实践操作能力,动手能力。 (5)学习并掌握MSP430单片机C程序设计方法。 二.实习器材和材料(常用工具及器件) 1.核心板器材及焊接顺序

2.扩展板器材及焊接顺序

三.实习内容 1.电子实训用电安全及常识 (1)安全用电知识是关于如何预防用电事故及保障人身、设备安全的知识。在电子装焊调试中,要使用各种工具、电子仪器等设备,同时还要接触危险的高电压,如果不掌握必要的安全知识,操作中缺乏足够的警惕,就可能发生人身、设备事故。 因此,必须在了解触电对人体的危害和造成触电原因的基础上,掌握一些安全用电知识,做到防患于未然。 (2)人体触电,当通过电流的时间越长,愈易造成心室颤动,生命危险性就愈大。 据统计,触电1-5min内急救,90%有良好的效果,10分钟内60%救生率,超过15分钟希望甚微。 (3)触电保护器的一个主要指标就是额定断开时间与电流乘积小于30mAs。实

际产品一般额定动作电流30 mA,动作时间0.1s,故小于30 mAs可有效防止触电事故。 (4)双相触电是指当人体同时接触电网的两根相线,电流从一相导体通过人体流入另一相导体,构成一个闭合回路,从而发生触电,这种触电形式称为双相触电,如图2-2所示。两相触电加在人体上的电压为线电压(380V) ,因此不论电网的中性点接地与否,其触电的危险性都最大。 (5)目前我国触电保护装置有电压型和电流型两大类。触电保护装置在对人身安全的保护作用方面远比接地、接零保护优越,并且效果显著,已得到广泛应用。 (6)电压型:用于中性点不直接接地的低压供电系统中 (7)电流型:用于中性点直接接地的低压供电系统中 2.焊接基本步骤及安装注意事项(以贴片焊接练习板和直流稳压电源焊接组装为例) (1)、右手持电烙铁。根据情况左手持焊锡丝或者用尖嘴钳或镊子夹持无件或导线。焊接前,电烙铁要充分预热,烙铁头刃面上要带一定量焊锡。 (2)、将烙铁头刃面紧贴在焊点处。电烙铁与水平面大约成45度角左右。左手向下送锡,右手送烙铁。送锡时间决定锡量大小,烙铁停留时间决定加热时间。当焊锡、烙铁头在无件引脚根部焊盘处相接触后,烙铁头在焊点处停留的时间应根据焊盘大小拄制在0.5~2秒钟。 (3)、抬开烙铁头。待焊点处的锡冷却凝固。 3.认识MSP430单片机系统的主要硬件资源 MSP430单片机AD输入接口电源 JIAG接入口复位按钮IO扩展口 CR1220 3V电池RS232串口USB接口 LED灯(8个)LED数码管(4个)一个蜂鸣器 4*4矩阵键盘红外遥控接口24C16串行EEPROM DS1320时钟芯片DS18B20单总线数字温度氧传感器 nR905接口nRF24101接口SD卡接口 LCD1602字符型液晶接口LCD12864图形液晶接口步进电机接口

硬件概要设计说明书

XXX板(卡)硬件概要设计说明书 文件编号: 版本号: 拟制人:日期: 审核人:日期: 批准人:日期: 湖北众友科技实业股份有限公司

目录与索引 1.引言 (4) 1.1编写目的 (4) 1.2背景 (4) 1.3缩略语 (4) 1.4参考资料 (4) 2.原理说明 (4) 2.1硬件功能详细列表 (4) 2.2性能说明 (4) 2.3原理框图及描述 (4) 3.硬件概要设计 (5) 3.1 XXX1 电路设计 (5) 3.1.1原理图及功能简述 (5) 3.1.2信号说明 (5) 3.1.3时序关系 (6) 3.2XXX2电路设计 (6) 3.2.1原理图及功能简述 (6) 3.2.2信号说明 (6) 3.2.3时序关系 (6) 3.3XXXN电路设计 (6) 3.3.1原理图及功能简述 (6) 3.3.2信号说明 (6) 3.3.3时序关系 (6) 3.4外部接口设计 (6) 3.5复位电路设计 (6) 3.6EPLD设计 (6) 3.7可测试性设计 (7) 3.8可制造性设计 (7) 4.物理资源分配表 (7) 5.出线列表 (7) 6.器件列表 (8) 7.作用说明 (8) 8.成本估算 (8) 9.附录 (8)

1.引言 1.1编写目的 [说明编写这份文档的目的,指出预期的读者。] 1.2背景 [列出本项目的任务提出者、开发者、用户。] 1.3缩略语 [列出本文件中用到的专门术语的定义和外文首字母组词的原词组。] 1.4参考资料 [列出有关的参考资料。] 2.原理说明 2.1 硬件功能详细列表 描述本板需要实现的功能 2.2 性能说明 描述本板需要达到的性能 2.3 原理框图及描述 描述本板详细的原理框图及对板内各部分(模块)的功能说明。

相关文档