文档库 最新最全的文档下载
当前位置:文档库 › 集成电路计算机辅助设计课程设计报告书

集成电路计算机辅助设计课程设计报告书

集成电路计算机辅助设计课程设计报告书
集成电路计算机辅助设计课程设计报告书

集成电路计算机辅助设计课程设计四位加法器电路设计及版图实现

专业:电子科学与技术

班级: 32050902

学号: 3205090218

姓名:王康

指导教师:邱彦章

日期: 2012年6月下旬

前言

微电子技术、电子技术和计算机技术在相互渗透、相互支撑和相互促进的紧密关系中,均得到飞速发展。现代信息社会的支柱—计算机和通信,其主要硬件设备就是集成电路。已集成电路的发展为标志的微电子技术无处不在,已成为现代信息社会的基础。20实际的历史证明,集成电路产业是人类历史上发展最快的产业,而IC产业实际上已出现独立的设计业、设计软件业、制造业和封装业、测试业。社会的需求直接推动IC设计业的发展,而成功的IC设计又取决于优秀的设计工具和科学的设计方法。

集成电路的发展、自从1959年世界上第一块集成电路的诞生以来,集成电技术以惊人的速度发展。第一块集成电路上只有四个晶体管,而目前的集成电路已经可以在一个芯片上集成几千万只晶体管,甚至上亿只晶体管。从上世纪80年代开始,IC制造的特征尺寸由3um 缩小至0.09um,大约每五年缩小一倍。

随着特征尺寸的减小,IC的速度、功耗、性能等各种性能都得到了很大的提高,价格却迅速下降。社会的需求不断推动集成电路产业的发展,在IC设计方面,从晶体管的集成发展,进而发展到IP核的集成,在单个芯片上实现整个系统的功能,即IC设计已发展到片上系统SOC阶段。SOC中可以包括数字IC模拟IC射频IC和传感器,能实现非常复杂的系统功能。

目录

第一部分题目要求 (4)

第二部分电路设计....................................... (6)

第一节集成电路版图设计原理................... (6)

第二节集成电路中的元件.............................. (6)

第三节集成电路的制作流程..................... .. (8)

第四节S-seit编辑四位连波进位加法器....... . (9)

第三部分电路仿真 (12)

第一节生成spice文件 (12)

第二节仿真分析(瞬态、直流、) (13)

第四部分版图设

计 (15)

第一节一位全加器版图设计 (15)

第二节四位加法器版图设计 (16)

第五部分结论........................................................ (17)

第六部分心得体会........................................... (18)

附:

鸣........................................................ (19)

参考文献........................................................ .. (20)

第一部分题目要求

题目四位加法器电路设计及版图实现

摘要:

集成电路是电子电路,但他又不同于一般的电子电路,它把成千上万的电子元件包括MOS晶体管,电阻RES,电容CPA甚至电感集成在微小的

芯片上,正是这种奇妙的设计和制造方式使它成为人类社会进步创造了空前的奇迹,而使这种奇迹成为现实的正是集成电路版图设计。

集成电路版图与集成电路的概念是一同诞生的,可以说没有版图就没有集成电路。集成电路版图实际是实现集成电路制造所必不可少的设计环节,它不仅关系到集成电路的功能是否正确,而且也会极大程度地影响电路的性能成本功耗。近年来迅速发展的计算机通讯嵌入式或者便携设备中集成电路的高性能低功耗运行都离不开集成电路版图的精心设计,现代集成电路中发展起来的全定制与ASIC实际、单元库、IP库的建立,以及系统芯片设计的概念和方法学也无一不与集成电路版图设计密切相关。

题目要求

设计一个四位连波进位加法器单元电路。完成电路原理图的设计,仿真,以及版图设计。要求尽可能满足下列要求。

(1)给出满足题目要求的电路图

(2)给出MOS管的尺寸

(3)利用Hspice或T-spice对电路进行仿真,仿真容包括:直流特性、瞬态特性、温度特性、功耗等。

(4)利用L-EDIT完成电路的版图设计,设计规则使用SMIC0.35um CMOS工艺规则或L-EDIT默认规则,要求电路布局合理、面积尽量做小。

关键词

集成电路版图 NMOS PMOS poly metal1 Metal2 全加器

四位加法器

第二部分电路设计

第一节集成电路版图设计原理

数字集成电路设计_笔记归纳..

第三章、器件 一、超深亚微米工艺条件下MOS 管主要二阶效应: 1、速度饱和效应:主要出现在短沟道NMOS 管,PMOS 速度饱和效应不显著。主要原因是 TH G S V V -太大。在沟道电场强度不高时载流子速度正比于电场强度(μξν=) ,即载流子迁移率是常数。但在电场强度很高时载流子的速度将由于散射效应而趋于饱和,不再随电场 强度的增加而线性增加。此时近似表达式为:μξυ=(c ξξ<),c s a t μξυυ==(c ξξ≥) ,出现饱和速度时的漏源电压D SAT V 是一个常数。线性区的电流公式不变,但一旦达到DSAT V ,电流即可饱和,此时DS I 与GS V 成线性关系(不再是低压时的平方关系)。 2、Latch-up 效应:由于单阱工艺的NPNP 结构,可能会出现VDD 到VSS 的短路大电流。 正反馈机制:PNP 微正向导通,射集电流反馈入NPN 的基极,电流放大后又反馈到PNP 的基极,再次放大加剧导通。 克服的方法:1、减少阱/衬底的寄生电阻,从而减少馈入基极的电流,于是削弱了正反馈。 2、保护环。 3、短沟道效应:在沟道较长时,沟道耗尽区主要来自MOS 场效应,而当沟道较短时,漏衬结(反偏)、源衬结的耗尽区将不可忽略,即栅下的一部分区域已被耗尽,只需要一个较小的阈值电压就足以引起强反型。所以短沟时VT 随L 的减小而减小。 此外,提高漏源电压可以得到类似的效应,短沟时VT 随VDS 增加而减小,因为这增加了反偏漏衬结耗尽区的宽度。这一效应被称为漏端感应源端势垒降低。

4、漏端感应源端势垒降低(DIBL): VDS增加会使源端势垒下降,沟道长度缩短会使源端势垒下降。VDS很大时反偏漏衬结击穿,漏源穿通,将不受栅压控制。 5、亚阈值效应(弱反型导通):当电压低于阈值电压时MOS管已部分导通。不存在导电沟道时源(n+)体(p)漏(n+)三端实际上形成了一个寄生的双极性晶体管。一般希望该效应越小越好,尤其在依靠电荷在电容上存储的动态电路,因为其工作会受亚阈值漏电的严重影响。 绝缘体上硅(SOI) 6、沟长调制:长沟器件:沟道夹断饱和;短沟器件:载流子速度饱和。 7、热载流子效应:由于器件发展过程中,电压降低的幅度不及器件尺寸,导致电场强度提高,使得电子速度增加。漏端强电场一方面引起高能热电子与晶格碰撞产生电子空穴对,从而形成衬底电流,另一方面使电子隧穿到栅氧中,形成栅电流并改变阈值电压。 影响:1、使器件参数变差,引起长期的可靠性问题,可能导致器件失效。2、衬底电流会引入噪声、Latch-up、和动态节点漏电。 解决:LDD(轻掺杂漏):在漏源区和沟道间加一段电阻率较高的轻掺杂n-区。缺点是使器件跨导和IDS减小。 8、体效应:衬底偏置体效应、衬底电流感应体效应(衬底电流在衬底电阻上的压降造成衬偏电压)。 二、MOSFET器件模型 1、目的、意义:减少设计时间和制造成本。 2、要求:精确;有物理基础;可扩展性,能预测不同尺寸器件性能;高效率性,减少迭代次数和模拟时间 3、结构电阻:沟道等效电阻、寄生电阻 4、结构电容: 三、特征尺寸缩小 目的:1、尺寸更小;2、速度更快;3、功耗更低;4、成本更低、 方式: 1、恒场律(全比例缩小),理想模型,尺寸和电压按统一比例缩小。 优点:提高了集成密度 未改善:功率密度。 问题:1、电流密度增加;2、VTH小使得抗干扰能力差;3、电源电压标准改变带来不便;4、漏源耗尽层宽度不按比例缩小。 2、恒压律,目前最普遍,仅尺寸缩小,电压保持不变。 优点:1、电源电压不变;2、提高了集成密度 问题:1、电流密度、功率密度极大增加;2、功耗增加;3、沟道电场增加,将产生热载流子效应、速度饱和效应等负面效应;4、衬底浓度的增加使PN结寄生电容增加,速度下降。 3、一般化缩小,对今天最实用,尺寸和电压按不同比例缩小。 限制因素:长期使用的可靠性、载流子的极限速度、功耗。

集成电路课程设计报告

课程设计 班级: 姓名: 学号: 成绩: 电子与信息工程学院 电子科学系

CMOS二输入与非门的设计 一、概要 随着微电子技术的快速发展,人们生活水平不断提高,使得科学技术已融入到社会生活中每一个方面。而对于现代信息产业和信息社会的基础来讲,集成电路是改造和提升传统产业的核心技术。随着全球信息化、网络化和知识经济浪潮的到来,集成电路产业的地位越来越重要,它已成为事关国民经济、国防建设、人民生活和信息安全的基础性、战略性产业。 集成电路有两种。一种是模拟集成电路。另一种是数字集成电路。本论文讲的是数字集成电路版图设计的基本知识。然而在数字集成电路中CMOS与非门的制作是非常重要的。 二、CMOS二输入与非门的设计准备工作 1.CMOS二输入与非门的基本构成电路 使用S-Edit绘制的CMOS与非门电路如图1。 图1 基本的CMOS二输入与非门电路

2.计算相关参数 所谓与非门的等效反相器设计,实际上就是根据晶体管的串并联关系,再根据等效反相器中的相应晶体管的尺寸,直接获得与非门中各晶体管的尺寸的设计方法。具体方法是:将与非门中的VT3和VT4的串联结构等效为反相器中的NMOS 晶体管,将并联的VT 1、VT 2等效PMOS 的宽长比(W/L)n 和(W/L)p 以后,考虑到VT3和VT4是串联结构,为保持下降时间不变,VT 3和VT 4的等线电阻必须减小为一半,即他们的宽长比必须为反相器中的NMOS 的宽长比增加一倍,由此得到(W/L)VT3,VT4=2(W/L)N 。 因为考虑到二输入与非门的输入端IN A 和IN B 只要有一个为低电平,与非门输出就为高电平的实际情况,为保证在这种情况下仍能获得所需的上升时间,要求VT 1和VT 2的宽长比与反相其中的PMOS 相同,即(W/L)VT1,VT2=(W/L)P 。至此,根据得到的等效反向器的晶体管尺寸,就可以直接获得与非门中各晶体管的尺寸。 如下图所示为t PHL 和t PLH ,分别为从高到低和从低到高的传输延时,通过反相器的输入和输出电压波形如图所示。给其一个阶跃输入,并在电压值50%这一点测量传输延迟时间,为了使延迟时间的计算简单,假设反相器可以等效成一个有效的导通电阻R eff ,所驱动的负载电容是C L 。 图2 反相器尺寸确定中的简单时序模型 对于上升和下降的情况,50%的电都发生在: L eff C R 69.0=τ 这两个Reff 的值分别定义成上拉和下拉情况的平均导通电阻。如果测量t PHL 和t PLH ,可以提取相等的导通电阻。 由于不知道确定的t PHL 和t PLH ,所以与非门中的NMOS 宽长比取L-Edit 软件中设计规则文件MOSIS/ORBIT 2.0U SCNA Design Rules 的最小宽长比及最小长度值。 3.分析电路性质 根据数字电路知识可得二输入与非门输出AB F =。使用W-Edit 对电路进行仿真后得到的结果如图4和图5所示。

CAD实验报告

实验报告 课程名称:制图基础及计算机绘图 学生姓名: 班级学号: 指导教师: 指导单位: 日期: 2014年 12 月 20日至 2014 年 12 月 23 日

实验一平面图形的设计 班级学号姓名 第周星期第节成绩 一、实验目的 1. 学会打开、关闭和保存图形文件和熟悉MDT2004DX的界面。熟悉 MDT2004DX环境及常用绘图命令和编辑命令。 2. 学会部分常用绘图命令和编辑命令,掌握工具栏的调用方法。 3. 学会视窗的显示控制和图层的建立,学会注写文字。 二、实验内容 按图示尺寸完成横置A4图一张,尺寸标注不作要求。横置A4图纸幅面尺寸、图框、标题栏按教材第1章相关内容绘制。 实验步骤: 一.启动CAD 选择桌面快捷键cad,双击打开。

1.创建新图形文件 选择菜单“文件→新建部件文件”,在选择样板对话框中选择acad.dwt,如图1所示。 2.绘制297X210 A4图纸。 命令如下: 命令: l LINE 指定第一点: 指定下一点或[放弃(U)]: 297 指定下一点或[放弃(U)]: 210 指定下一点或[闭合(C)/放弃(U)]: 297 指定下一点或[闭合(C)/放弃(U)]: c

3.绘制图框 使用Offset命令,使左边界向右偏移25。上下和右边界都偏移 5 命令: _offset 当前设置: 删除源=否图层=源OFFSETGAPTYPE=0 指定偏移距离或[通过(T)/删除(E)/图层(L)] <20.0000>: 25 指定偏移距离或[通过(T)/删除(E)/图层(L)] <25.0000>: 5

4.去处图框四角多余的部分 命令: amfillet2d (标注模式:关)(剪切模式) 当前圆角半径= 10 选择第一个对象或[多段线(P)/设置(S)/标注(D)]<设置>: 选择第二个对象或<按回车键表示多段线>: 图元无法用自身圆角。(标注模式:关)(剪切模式) 当前圆角半径= 10 选择第一个对象或[多段线(P)/设置(S)/标注(D)]<设置>:s (标注模式:关)(剪切模式) 当前圆角半径= 0 选择第一个对象或[多段线(P)/设置(S)/标注(D)]<设置>: 选择第二个对象或<按回车键表示多段线>:(标注模式:关)(剪切模式) 当前圆角半径= 0 选择第一个对象或[多段线(P)/设置(S)/标注(D)]<设置>: 选择第二个对象或<按回车键表示多段线>:(标注模式:关)(剪切模式) 当前圆角半径= 0 选择第一个对象或[多段线(P)/设置(S)/标注(D)]<设置>: 选择第二个对象或<按回车键表示多段线>:(标注模式:关)(剪切模式) 当前圆角半径= 0 选择第一个对象或[多段线(P)/设置(S)/标注(D)]<设置>: 选择第二个对象或<按回车键表示多段线>:(标注模式:关)(剪切模式) 当前圆角半径= 0

《数字电路课程设计》

实验三旋转灯光电路与追逐闪光灯电路 一、实验目的 1.熟悉集成电路CD4029、CD4017、74LS138的逻辑功能。 2.学会用74LS04、CD4029、74LS138组装旋转灯光电路。 3. 学会用CD4069、CD4017组装追逐闪光灯电路。 二、实验电路与原理 1.旋转灯光电路: 图3-1 旋转灯光电路 将16只发光二极管排成一个圆形图案,按照顺序每次点亮一只发光二极管,形成旋转灯光。实现旋转灯光的电路如图3-1所示,图中IC1、R1、C1组成时钟脉冲发生器。IC2为16进制计数器,输出为4位二进制数,在每一个时钟脉冲作用下输出的二进制数加“1”。计数器计满后自动回“0”,重新开始计数,如此不断重复。 输入数据的低三位同时接到两个译码器的数据输入端,但是否能有译码器输出取决于使能端的状态。输入数据的第四位“D”接到IC3的低有效使能端G2和IC4的高有效使能端G1,当4位二进制数的高位D为“0”时,IC4的G1为“0”,IC4的使能端无效,IC4无译码输出,而IC3的G2为“0”,IC3使能端全部有效,低3位的CBA数据由IC3译码,输出D=0时的8个输出,即低8位输出(Y0~Y7)。当D为“1”时IC3的使能端处于无效状态,IC3无译码输出;IC4的使能端有效,低3位CBA数据由IC4译码,输出D=1时的8个输出,即高8位输出(Y8~Y15)。 由于输入二进制数不断加“1”,被点亮的发光二极管也不断地改变位置,形成灯光地“移动”。改变振荡器的振荡频率,就能改变灯光的“移动速度”。

注意:74LS138驱动灌电流的能力为8mA,只能直接驱动工作电流为5mA的超高亮发光二极管。若需驱动其他发光二极管或其他显示器件则需要增加驱动电路。 2. 追逐闪光灯电路 图 3-2 追 逐 闪 光 灯 电 路 ( 1) . CD 401 7 的 管 脚功能 CD4017集成电路是十进制计数/时序译码器,又称十进制计数/脉冲分频器。它是4000系列CMOS数字集成电路中应用最广泛的电路之一,其结构简单,造价低廉,性能稳定可靠,工艺成熟,使用方便。它与时基集成电路555一样,深受广大电子科技工作者和电子爱好者的喜爱。目前世界各大通用数字集成电路厂家都生产40171C,在国外的产品典型型号为CD4017,在我国,早期产品的型号为C217、C187、CC4017等。 (2)CD4017C管脚功能 CMOSCD40171C采用标准的双列直插式16脚塑封,它的引脚排列如图3-3(a)所示。 CC4017是国标型号,它与国外同类产品CD4017在逻辑功能、引出端和电参数等方面完全相同,可以直接互换。本书均以CD40171C为例进行介绍,其引脚功能如下: ①脚(Y5),第5输出端;②脚(Y1),第1输出端,⑧脚(Yo),第0输出端,电路清零 时,该端为高电平,④脚(Y2),第2输出端;⑤脚(Y6),第6输出端;⑥脚(Y7),第7输出端;⑦脚(Y3),第3输出端;⑧脚(Vss),电源负端;⑨脚(Y8),第8输出端,⑩脚(Y4),第4输出端;11脚(Y9),第9输出端,12脚(Qco),级联进位输出端,每输入10个时钟脉冲,就可得一个进位输出脉冲,因此进位输出信号可作为下一级计数器的时钟信号。13脚(EN),时钟输入端,脉冲下降沿有效;14脚(CP),时钟输入

集成电路课程设计(CMOS二输入及门)

) 课程设计任务书 学生姓名:王伟专业班级:电子1001班 指导教师:刘金根工作单位:信息工程学院题目: 基于CMOS的二输入与门电路 初始条件: 计算机、Cadence软件、L-Edit软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) & 1、课程设计工作量:2周 2、技术要求: (1)学习Cadence IC软件和L-Edit软件。 (2)设计一个基于CMOS的二输入的与门电路。 (3)利用Cadence和L-Edit软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 | 学习Cadence IC和L-Edit软件,查阅相关资料,复习所设计内容的基本理论知识。 对二输入与门电路进行设计仿真工作,完成课设报告的撰写。 提交课程设计报告,进行答辩。 指导教师签名:年月日系主任(或责任教师)签名:年月日

目录 # 摘要 (2) 绪论…....………………………………………….………………….. ..3 一、设计要求 (4) 二、设计原理 (4) 三、设计思路 (4) 3.1、非门电路 (4) 3.2、二输入与非门电路 (6) 、二输入与门电路 (8) } 四、二输入与门电路设计 (9) 4.1、原理图设计 (9) 4.2、仿真分析 (10) 4.3、生成网络表 (13) 五、版图设计........................ (20) 、PMOS管版图设计 (20) 、NMOS管版图设计 (22) 、与门版图设计 (23)

CAD课程设计报告

计算机辅助设计 课程设计报告 课程名称计算机辅助设计 设计题目千斤顶的二维工程图和三维建模专业班级工程力学02 学生姓名齐静学号20097235起止日期2012.1.4至2012.1.13

重庆大学本科学生课程设计任务书 课程设计题目计算机辅助设计 学院资源及环境科学学院专业工程力学年级2009 已知参数和设计要求: 已知参数为:某千斤顶的二维工程图样。 设计要求:根据千斤顶的二维工程图样,用CAD软件完成千斤顶的二维工程图和三维建模,并完成课程设计报告。 学生应完成的工作: 学生用CAD软件完成千斤顶的二维工程图和三维建模,并提交相应的课程设计报告。 目前资料收集情况(含指定参考资料): 千斤顶的二维图样。 课程设计的工作计划: 1、计算机辅助设计上机时间安排: 2012年1月4日至2012年1月13日 2、计算机辅助设计上机地点: A理119 任务下达日期2011年12月30日完成日期年月日指导教师(签名)学生(签名) 说明:1、学院、专业、年级均填全称,如:光电工程学院、测控技术、2003。 2、本表除签名外均可采用计算机打印。本表不够,可另附页,但应在页脚添加页码

2.1千斤顶的二维制图 2.1.1绘制二维图的基准图 1、打开“AutoCAD”,选择“格式”|“图层”命令,弹出“图层特性管理器”窗口,新建“粗实线”、“细实线”、“中心线”、“文字说明”、“虚线”五个图层。设置如下图: 2、选择“格式”|“线型”命令,将全局比例因子设为“0.4”;选择“格式”|“线宽”命令,将“显示线宽”勾选;选择“标注”|“标注样式”,弹出“标注样式管理器”窗口,单击“修改”,修改如下: 3、保存当前绘图,命名为“基准图”,并关闭。 2.1.2绘制二维底座零件图 1、打开“基准图”,选择“粗实线”图层,绘制“200x287”的边框,按照1:1的比例绘制底座零件图并标注,按照要求写好文字说明;

数电课程设计题目汇总..

数电课程设计题目选 一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED 数码管显示,要求测量分辨率为0.1℃,数据测量间隔时间为5秒。 〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法 2)利用电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM 查表法非线性校正两种方法) 3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 〖参考原理框图〗系统参考原理框图如下: 〖主要参考元器件〗 MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通 精密电位器代替。 二、十二小时电子钟 〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。 〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时(非语音报时) 5)定时功能 〖参考原理框图〗: 〖主要参考元器件〗:CD4060,74LS74,74LS161,74LS248 电桥电路 供电电路 时钟电路 放大电路 A/D 转换 显示电路 时校 分校 秒校 24进制时计数器 单次或连续的脉冲 60进制分计数器 分频器 60进制秒计数器 译码电路 晶体振荡器 显示电路 译码电路 显示电路 显示电路 译码电路

三、电平感觉检测仪 〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,测试时采用头戴式传感器、闭上双目,单脚立地:保持静止,开始测试。定时时间为1分钟 〖提高要求〗 〖参考原理、框图〗: 〖主要参考元器件〗CD4060,555,74LS74 四、便携式快速心律计 基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED 显示。 〖提高要求〗1)提高测量精度的方法 2)设计能比较准确测量1S 内心跳的电路 〖参考原理框图〗 〖主要参考元器件〗CD4060,4528,4518;4511,14526 五、数字式定时开关 〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD 拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED 数码管显示。此开关预置时间以后通过另一按钮控 制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。 〖提高要求〗 l)输出部分加远距离(100m)继电器进行控制 2)延长定时时间 3)探讨提高定时精度的方法 〖参考原理框图〗 外部操作开关 〖主要参考元器〗:CC4511,CC14522,CD4060 传感器 基准时间产生电路 倍频器 放大与整形 控制电路 计数译码 显 示电 路 秒脉冲发生器 计时器 译码显示 控制电路 报警电路

CMOS模拟集成电路课程设计

电子科学与技术系 课程设计 中文题目:CMOS二输入与非门的设计 英文题目: The design of CMOS two input NAND gate 姓名:张德龙 学号: 1207010128 专业名称:电子科学与技术 指导教师:宋明歆 2015年7月4日

CMOS二输入与非门的设计 张德龙哈尔滨理工大学电子科学与技术系 [内容摘要]随着微电子技术的快速发展,人们生活水平不断提高,使得科学技术已融入到社会生活中每一个方面。而对于现代信息产业和信息社会的基础来讲,集成电路是改造和提升传统产业的核心技术。随着全球信息化、网络化和知识经济浪潮的到来,集成电路产业的地位越来越重要,它已成为事关国民经济、国防建设、人民生活和信息安全的基础性、战略性产业。 集成电路有两种。一种是模拟集成电路。另一种是数字集成电路。本次课程设计将要运用S-Edit、L-edit、以及T-spice等工具设计出CMOS二输入与非门电路并生成spice文件再画出电路版图。 [关键词]CMOS二输入与非门电路设计仿真

目录 1.概述 (1) 2.CMOS二输入与非门的设计准备工作 (1) 2-1 .CMOS二输入与非门的基本构成电路 (1) 2-2.计算相关参数 (2) 2-3.电路spice文件 (3) 2-4.分析电路性质 (3) 3、使用L-Edit绘制基本CMOS二输入与非门版图 (4) 3-1.CMOS二输入与非门设计的规则与布局布线 (4) 3-2.CMOS二输入与非门的版图绘制与实现 (5) 4、总结 (6) 5、参考文献 (6)

1.概述 本次课程设计将使用S-Edit画出CMOS二输入与非门电路的电路图,并用T-spice生成电路文件,然后经过一系列添加操作进行仿真模拟,计算相关参数、分析电路性质,在W-edit中使电路仿真图像,最后将电路图绘制电路版图进行对比并且做出总结。 2.CMOS二输入与非门的设计准备工作 2-1 .CMOS二输入与非门的基本构成电路 使用S-Edit绘制的CMOS与非门电路如图1。 图1 基本的CMOS二输入与非门电路 1

CAD课程设计报告

《CAD基础与应用》课程设计报告 学生:班级学号: 所在学院:测绘学院 专业:测绘工程 指导教师: 2011 年1月4日

目录 一、课程设计目的 (2) 二、课程设计的容 (2) 三、绘制平面图的操作流程 (2) 四、关键与难点问题 (6) 五、课程设计心得 (6)

一、课程设计的目的 本课程设计是在课程结束后,为复习巩固所学基本理论、基本知识,加强学生对房屋建筑的感性认识,尽快了解建筑专业方面的有关情况,有针对性地安排实践性教学环节,培养学生观察问题、思考问题的能力,并对房屋建筑的组成产生一定认识。同时,为适应当今工程制图的计算机绘图化,培养学生使用计算机绘制工程图样的能力,提高学生计算机操作水平,使学生掌握AutoCAD软件包,更加熟练的运用之前学到的CAD中的各种指令,学到更多的制图方法和操作技巧用计算机绘制建筑施工图,可以为今后从事计算机辅助设计工作打下基础。 Auto CAD设计领域正在经历着由传统设计工具向数字设计工具的革命性转变。AutoCAD 2010新功能、安装、AutoCAD设计中心、二维图形的绘制和编辑、尺寸和文本标注、图层、图块、线型及颜色、图案填充、面域和查询、三维图形的绘制和编辑、实体造型、着色与渲染、AutoCAD的定制与开发、AutoCAD文件数据管理、AutoCAD的网络功能等。 通过练习绘制建筑平面图,让我们进一步了解各种命令按钮和方法的使用,各种属性和格式的设置,使最后的成图既美观又实用;通过识图工程施工图,加强学生阅读工程施工图的能力。在反复的操作中更加熟练的掌握CAD这个软件。同时作为测绘工程的学生,学习好CAD这门课并且不断进行练习操作,是十分重要的。 二、课程设计的容 使用CAD绘制所给建筑平面图,熟悉CAD中各项功能,了解建筑图的基本绘制。 三、绘制平面图的操作流程 绘制平面图时,不能按照手工绘图的方法来绘制,那样做不但不能充分发挥计算机的长处,甚至其绘图速度还不如手工绘图的速度快。绘图时,应充分考虑计算机的优点,使用按“线群”绘制的方法,而不是手工绘图的按“单线”绘制的方法。 平面图的绘制大体由如下几个步骤组成: 1、环境设置 ①设置绘图界限 按照图示所标注的尺寸大小和图形布置情况,绘图界限设置成A4(297,210)大小,竖放。执行limits和zoom all命令将整幅图形显示出来。 ②设置图层

青岛农业大学电子设计自动化与专用集成电路课程设计报告汇总

青岛农业大学 理学与信息科学学院 电子设计自动化及专用集成电路 课程设计报告 设计题目一、设计一个二人抢答器二、密码锁 学生专业班级 学生姓名(学号) 指导教师 完成时间 实习(设计)地点信息楼121 年 11 月 1 日

一、课程设计目的和任务 课程设计目的:本次课程设计是在学生学习完数字电路、模拟电路、电子设计自动化的相关课程之后进行的。通过对数字集成电路或模拟集成电路的模拟与仿真等,熟练使用相关软件设计具有较强功能的电路,提高实际动手,为将来设计大规模集成电路打下基础。 课程设计任务: 一、设计一个二人抢答器。要求: (1)两人抢答,先抢有效,用发光二极管显示是否抢到答题权。 (2)每人两位计分显示,打错不加分,答对可加10、20、30分。 (3)每题结束后,裁判按复位,重新抢答。 (4)累积加分,裁判可随时清除。 二、密码锁 设计四位十进制密码锁,输入密码正确,绿灯亮,开锁;不正确,红灯亮,不能开锁。密码可由用户自行设置。 二、分析与设计 1、设计任务分析 (1)二人抢答器用Verilog硬件描述语言设计抢答器,实现: 1、二人通过按键抢答,最先按下按键的人抢答成功,此后其他人抢答无效。 2、每次只有一人可获得抢答资格,一次抢答完后主持人通过复位按键复位,选手再从新抢答。 3、有从新开始游戏按键,游戏从新开始时每位选手初始分为零分,答对可选择加10分、20分,30分,最高九十分。 4、选手抢答成功时其对应的分数显示。 (2)密码锁 1、第一个数字控制键用来进行密码的输入 2、第二个按键控制数字位数的移动及调用密码判断程序。当确认后如果显示数据与预置密码相同,则LED 亮;如不相等,则无反应。按下复位键,计数等均复位

集成电路课程设计范例

集成电路课程设计 范例 1

集成电路课程设计 1.目的与任务 本课程设计是《集成电路分析与设计基础》的实践课程,其主要目的是使学生在熟悉集成电路制造技术、半导体器件原理和集成电路分析与设计基础上,训练综合运用已掌握的知识,利用相关软件,初步熟悉和掌握集成电路芯片系统设计→电路设计及模拟→版图设计→版图验证等正向设计方法。 2.设计题目与要求 2.1设计题目及其性能指标要求 器件名称:含两个2-4译码器的74HC139芯片 要求电路性能指标: (1)可驱动10个LSTTL电路(相当于15pF电容负载); (2)输出高电平时,|I OH|≤20μA,V OH,min=4.4V; (3)输出底电平时,|I OL|≤4mA,V OL,man=0.4V; (4)输出级充放电时间t r=t f,t pd<25ns; (5)工作电源5V,常温工作,工作频率f work=30MHz,总功耗P max=150mW。 2.2设计要求 1.独立完成设计74HC139芯片的全过程; 2.设计时使用的工艺及设计规则: MOSIS:mhp_n12;

3.根据所用的工艺,选取合理的模型库; 4.选用以lambda(λ)为单位的设计规则; 5.全手工、层次化设计版图; 6.达到指导书提出的设计指标要求。 3.设计方法与计算 3.174HC139芯片简介 74HC139是包含两个2线-4线译码器的高速CMOS数字电路集成芯片,能与TTL集成电路芯片兼容,它的管脚图如图1所示,其逻辑真值表如表1所示: 图1 74HC139芯片管脚图 表1 74HC139真值表 片选输入数据输出 C s A1 A0 Y0 Y1Y2Y3 0 0 0 0 1 1 1 0 0 1 1 0 1 0 1 0 1 1 0 1

cad课程设计报告

cad课程设计报告 课程设计报告要把课程设计整个架构都描写出来,下 面是cad课程设计报告范文,欢迎参考阅读! 篇一:cad课程设计报告范文结合本专业要求,自选题目,作一个计算机绘图辅助设计项目。例如:1)根据一个住宅小区项目的具体要求,结合当地风俗、文化的实 际特点,确定项目总体占地、布局方案,进行两种以上户 型设计。2)设计一座栋建筑物的楼层平面图,立面图,建筑效果图等。 1)项目设计符合专业要求。 2)图形以A4纸打印,以附件的形式附在设计报告后面,要求界面规范、清晰、美观,设计标题、图例、比例尺、绘制者、单位、制图时间等绘图基本要素齐全。 3)绘图工作量最低不能小于16机时。 4)使用二维和三维相结合的方式完成设计。 5)设计报告要求格式正确,要素完整,层次清楚,思路清晰,文字流畅,报告内容不少于6000字。 1)根据专业要求和自己的兴趣,选择合理的设计项目; 2)做好课程设计工作计划,按计算机绘图设计程序,完成设计内容。3)设计成果提交设计图电子版,按班提交光盘。4)每个同学提交设计报告纸质版。

徐建平,马利涛.精通AutoCADXX中文版,北京:清华大学出版社,XX 杨国清,戴立望,李爽.中文AutoCAD应用基础教程.北京:冶金工业出版社,XX 相关网站:晓东CAD家园:http:// 篇二:CAD的课程设计报告(附图) 1、掌握AUTOCAD的绘图环境设置及绘图命令的操作。 2、掌握AUTOCAD的绘图编辑的操作。 3、掌握AUTOCAD的文本输入与尺寸标注的操作。 4、掌握AUTOCAD的图形的输出操作。 5、掌握AUTOCAD的文本输入与尺寸标注的操作。 6、锻炼工程CAD的综合和设计能力。 工程CAD在大一时我们已经有一定的接触,对一些基本的二维绘图有比较初步的了解。但当时主要针对的是机械制图,对于我们土木这块的绘图还是有点差别。这个学期开设的CAD主要是针对本专业而设置的,因此难度和要求都比大一的时候要难些,让我们在大一学习工程制图的基础上,更深层次地运用AUTOCAD软件进行图形地绘制,特别是强大的三维建模模式的运用。 通过这次设计自我感觉设计很重要的就是布局,一旦布局出来了那绘图就很快了。大多同学的设计思想是先整体后局部,而我则恰恰相反,我采用的是先局部后整体的

《集成电路设计》课程设计实验报告

《集成电路设计》课程设计实验报告 (前端设计部分) 课程设计题目:数字频率计 所在专业班级:电子科 作者姓名: 作者学号: 指导老师:

目录 (一)概述 2 2 一、设计要求2 二、设计原理 3 三、参量说明3 四、设计思路3 五、主要模块的功能如下4 六、4 七、程序运行及仿真结果4 八、有关用GW48-PK2中的数码管显示数据的几点说明5(三)方案分析 7 10 11

(一)概述 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得十分重要。测量频率的方法有多种,数字频率计是其中一种。数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,是一种用十进制数字显示被测信号频率的数字测量仪器。数字频率计基本功能是测量诸如方波等其它各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。 频率计的基本原理是应用一个频率稳定度高的时基脉冲,对比测量其它信号的频率。时基脉冲的周期越长,得到的频率值就越准确。通常情况下是计算每秒内待测信号的脉冲个数,此时我们称闸门时间是1秒。闸门时间也可以大于或小于1秒,闸门的时间越长,得到的频率值就越准确,但闸门的时间越长则每测一次频率的间隔就越长,闸门时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。 本文内容粗略讲述了我们小组的整个设计过程及我在这个过程中的收获。讲述了数字频率计的工作原理以及各个组成部分,记述了在整个设计过程中对各个部分的设计思路、程序编写、以及对它们的调试、对调试结果的分析。 (二)设计方案 一、设计要求: ⑴设计一个数字频率计,对方波进行频率测量。 ⑵频率测量可以采用计算每秒内待测信号的脉冲个数的方法实现。

集成电路课程设计模板及参考资 [1]...

集成电路课程设计报告 设计课题: 数字电子钟的设计 姓名: 专业: 电子信息工程 学号: 日期 20 年月日——20 年月日指导教师: 国立华侨大学信息科学与工程学院

目录 1.设计的任务与要求 (1) 2.方案论证与选择 (1) 3.单元电路的设计和元器件的选择 (5) 3.1 六进制电路的设计 (6) 3.2 十进制计数电路的设计 (6) 3.3 六十进制计数电路的设计 (6) 3.4双六十进制计数电路的设计 (7) 3.5时间计数电路的设计 (8) 3.6 校正电路的设计 (8) 3.7 时钟电路的设计 (8) 3.8 整点报时电路的设计 (9) 3.9 主要元器件的选择 (10) 4.系统电路总图及原理 (10) 5.经验体会 (10) 参考文献 (11) 附录A:系统电路原理图 (12)

数字电子钟的设计 1. 设计的任务与要求 数字钟是一种…。 此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。而且通过数字钟的制作进一步了解…。 1.1设计指标 1. 时间以12小时为一个周期; 2. 显示时、分、秒; 3. 具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; 4. 计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时; 5. 为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。1.2 设计要求 1. 画出电路原理图(或仿真电路图); 2. 元器件及参数选择(或开发板的考虑); 3. 编写设计报告,写出设计的全过程,附上有关资料和图纸(也可直接写在 相关章节中),有心得体会。 2. 方案论证与选择 2.1 数字钟的系统方案 数字钟实际上是…

集成电路综合实验报告

集成电路设计综合实验 题目:集成电路设计综合实验 班级:微电子学1201 姓名: 学号:

集成电路设计综合实验报告 一、实验目的 1、培养从版图提取电路的能力 2、学习版图设计的方法和技巧 3、复习和巩固基本的数字单元电路设计 4、学习并掌握集成电路设计流程 二、实验内容 1. 反向提取给定电路模块(如下图1所示),要求画出电路原理图,分析出其所完成的逻辑功能,并进行仿真验证;再画出该电路的版图,完成DRC验证。 图1 1.1 查阅相关资料,反向提取给定电路模块,并且将其整理、合理布局。 1.2 建立自己的library和Schematic View(电路图如下图2所示)。 图2 1.3 进行仿真验证,并分析其所完成的逻辑功能(仿真波形如下图3所示)。

图3 由仿真波形分析其功能为D锁存器。 锁存器:对脉冲电平敏感,在时钟脉冲的电平作用下改变状态。锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,当锁存器处于使能状态时,输出才会随着数据输入发生变化。简单地说,它有两个输入,分别是一个有效信号EN,一个输入数据信号DATA_IN,它有一个输出Q,它的功能就是在EN有效的时候把DATA_IN的值传给Q,也就是锁存的过程。 只有在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号。其中使能端A 加入CP信号,C为数据信号。输出控制信号为0时,锁存器的数据通过三态门进行输出。所谓锁存器,就是输出端的状态不会随输入端的状态变化而变化,仅在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号到来时才改变。锁存,就是把信号暂存以维持某种电平状态。 1.4 生成Symbol测试电路如下(图4所示) 图4

数字集成电路课程设计74hc138

目录 1.目的与任务 (1) 2.教学内容基要求 (1) 3.设计的方法与计算分析 (1) 3.1 74H C138芯片简介 (1) 3.2 电路设计 (3) 3.3功耗与延时计算 (6) 4.电路模拟 (14) 4.1直流分析 (15) 4.2 瞬态分析 (17) 4.3功耗分析 (19) 5.版图设计 (19) 5.1 输入级的设计 (19) 5.2 内部反相器的设计 (19) 5.3输入和输出缓冲门的设计 (22) 5.4内部逻辑门的设计 (23) 5.5输出级的设计 (24) 5.6连接成总电路图 (24) 5.3版图检查 (24) 6.总图的整理 (26) 7.经验与体会 (26) 8.参考文献 (26) 附录 A 电路原理图总图 (28) 附录B总电路版图 (29)

集成 1. 目的与任务 本课程设计是《集成电路分析与设计基础》的实践课程,其主要目的是使学生在熟悉集成电路制造技术、半导体器件原理和集成电路分析与设计基础上,训练综合运用已掌握的知识,利用相关软件,初步熟悉和掌握集成电路芯片系统设计→电路设计及模拟→版图设计→版图验证等正向设计方法。 2. 教学内容基本要求 2.1课程设计题目及要求 器件名称:3-8译码器的74HC138芯片 要求电路性能指标: ⑴可驱动10个LSTTL 电路(相当于15pF 电容负载); ⑵输出高电平时,OH I ≤20uA, min ,OH V =4.4V; ⑶输出低电平时, OL I ≤4mA , man OL V , =0.4V ⑷输出级充放电时间r t = f t , pd t <25ns ; ⑸工作电源5V ,常温工作,工作频率work f =30MHZ ,总功耗 max P =15mW 。 2.2课程设计的内容 1. 功能分析及逻辑设计; 2. 电路设计及器件参数计算; 3. 估算功耗与延时; 4. 电路模拟与仿真; 5. 版图设计; 6. 版图检查:DRC 与LVS ; 7. 后仿真(选做); 8. 版图数据提交。 2.3课程设计的要求与数据 1. 独立完成设计74HC138芯片的全过程; 2. 设计时使用的工艺及设计规则: MOSIS:mhp_ns5; 3. 根据所用的工艺,选取合理的模型库; 4. 选用以lambda(λ)为单位的设计规则; 3. 设计的方法与计算分析 3.1 74HC138芯片简介

集成电路课程设计

集成电路课程设计报告 课题:二输入或非门电路与版图设计 专业 电子科学与技术 学生姓名 严 佳 班 级 B 电科121 学号 1210705128 指导教师 高 直 起止日期 2015.11.16-2015.11.29

摘要 集成电路是一种微型电子器件或部件。它是采用一定的工艺,把一个电路中所需的晶体管等有源器件和电阻、电容等无源器件及布线互连在一起,制作在一小块半导体晶片上,封装在一个管壳内,执行特定电路或系统功能的微型结构。在整个集成电路设计过程中,版图设计是其中重要的一环。它是把每个原件的电路表示转换成集合表示,同时,元件间连接的线也被转换成几何连线图形。对于复杂的版图设计,一般把版图设计划分成若干个子版图进行设计,对每个子版图进行合理的规划和布图,子版图之间进行优化连线、合理布局,使其大小和功能都符合要求。 越来越多的电子电路都在使用MOS管,特别是在音响领域更是如此。MOS 管与普通晶体管相比具有输入阻抗高、噪声系数小、热稳定性好、动态范围大等优点,且它是一种压控器件,有与电子管相似的传输特性,因而在集成电路中也得到了广泛的应用。 关键词:CMOS门电路或非门集成电路

绪论 目前,集成电路经历了小规模集成、中规模集成、大规模集成和超大规模集成。单个芯片上已经可以制作包含臣大数量晶体管的、完整的数字系统。在整个集成电路设计过程中,版图设计是其中重要的一环。它是把每个原件的电路表示转换成集合表示,同时,元件间连接的线也被转换成几何连线图形。对于复杂的版图设计,一般把版图设计划分成若干个子版图进行设计,对每个子版图进行合理的规划和布图,子版图之间进行优化连线、合理布局,使其大小和功能都符合要求。版图设计有特定的规则,这些规则是集成电路制造厂家根据自己的工艺特点而制定的。不同的工艺,有不同的设计规则。设计者只有得到了厂家提供的规则以后,才能开始设计。在版图设计过程中,要进行定期的检查,避免错误的积累而导致难以修改。 1.设计要求 (1)学习Multisim软件和L-Edit软件 (2)设计一个基于CMOS的二输入或非门电路。 (3)利用Multisim和L-Edit软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 2.设计目的 (1)熟悉Multisim软件的使用。 (2)L-Edit软件的使用。 (3)培养自己综合运用所学知识、独立分析和解决实际问题的能力,培养创新意识和创新能力,并获得科学研究的基础训练,加深对集成电路版图设计的了解。 3.设计原理 能够实现B =“或非”逻辑关系的电路均称为“或非门”。二输入或 A L+ 非门有两个输入端A和B以及一个输出端L,只有当A端和B端同时为高电平时输出才为低电平,否则输出都为高电平。在一个或门的输出端连接一个非门就构成了“或非门”,如图1.1所示,逻辑符号如图1.2所示,真值表如图1.3所示。

电子线路cad课程设计报告

电子线路cad课程设计报告

————————————————————————————————作者:————————————————————————————————日期:

电子线路CAD 课程设计 一.实训目的: 1.熟悉原理图编辑器的功能与使用方法;掌握原理图元件及元件库的使用,元件的放置与编辑、电路原理图的设计以及报表、原理图输出等技巧与方法。 2.熟悉印制电路板的设计流程,掌握元件封装库的使用和元件封装的放置方法。 3.掌握PCB 绘图工具的操作使用方法和PCB 设计规则。 4.掌握布局和布线等印制电路板的设计知识。 5.掌握PCB 报表的生成和PCB 图打印输出方法。 6.掌握印刷电路板的设计流程。 二.实训内容 本次设计选择单片机控制系统,主要是熟练运用DXP 作出最小单片机系统的电路图,以下通过介绍最小系统的各部分电路的电路图及原理,通过在DXP 上绘制原理图,检查并修改错误,最后生成完整PCB 板。 三.设计原理和思路 1.最小系统的结构 单片机即单片微控制器,是在一块芯片中集成了CPU (中央处理器)、RAM (数据存储器)、ROM (程序存储器)、定时器/计数器和多种功能的I/O(输入和输出)接口等一台计算机所需要的基本功能部件,从而可以完成复杂的运算、逻辑控制、通信等功能。 单片机最小系统电路主要集合了串口电路、USB 接口电路、蜂鸣器与继电器电路、AD&DA 转换电路、数码管电路、复位电路、晶振电路和4*4矩阵键盘等电路。如下介绍几种简单的电路设计。 下图是本次设计的的几个有关电路图总体框图: Max232 串口电路 (MAX232) 蜂鸣器 (Bell) 4*4矩阵键盘 待扩展数码管电路 AD&DA 转换 单 片

相关文档
相关文档 最新文档