文档库 最新最全的文档下载
当前位置:文档库 › 计算机组成原理课程实验

计算机组成原理课程实验

计算机组成原理课程实验
计算机组成原理课程实验

目录

1 需求分析 ..................................................................... - 1 -

1.1实验目的 (1)

1.2实验设计风格 (1)

1.3实验设备 (1)

1.4指令译码电路原理分析 (2)

1.5寄存器译码电路原理分析 (3)

1.6微代码格式如下 (4)

1.7故障处理 (6)

2 总体设计 ..................................................................... - 7 -

2.1设计内容 (7)

2.2时序电路分析 (7)

2.3指令类型 (8)

2.4汇编层的设计 (10)

2.5机器指令的设计与编写 (12)

2.6入口微地址形成图 (12)

3详细设计..................................................................... - 14 -

3.1详细设计说明 (14)

3.2设计控制台 (15)

3.3控制台总流程图 (17)

3.4设计微程序流程 (18)

3.5微程序流程图 (23)

3.68位模型机的完整数据通路图 (25)

3.7引脚连线图 (26)

3.8微程序代码设计与编写 (26)

4 实现阶段 .................................................................... - 28 -

4.1机器指令的输入及测试运行 (28)

心得体会 ...................................................................... - 29 - 参考资料 ...................................................................... - 30 -

1 需求分析

1.1 实验目的

(1)设计和实现一个8位指令系统结构

(2)为其定义相关机器指令,并编写相应的微程序

(3)能将部分单元电路组成系统,构成一台基本模型计算机

(4)通过调试和运行使设计的计算机系统能够完成指定的功能

1.2 实验设计风格

(1)指令系统风格:寄存器----寄存器,寄存器----存储器

(2)数据类型:整型的无符号数

(3)寻址方式:立即数寻址,直接寻址,寄存器寻址

(4)指令格式:IN、ADD为单字长(8位),剩余为双字长

(5)指令功能类型:算术运算,逻辑运算,存储器访问,寄存器操作,程序流控制,输入/输出

1.3 实验设备

TDN-CM++ 计算机组成原理实验教学系统一台,排线若干

1)功能分析

本实验完成一个8位指令系统,通过调试和运行使设计的计算机系统能够完成指定的功能

根据设计风格,可大致确定本实验采用6条机器指令,In(输入)、ADD(二进制加法)、STA(存数)、MOV(传送)、OUT(输出)、OR(逻辑或运算)、JMP(无条件转移)。

2)性能需求

本实验采用的TDN-CM++计算机组成原理实验教学系统是以灯亮为“0”、灯灭为“1”的负逻辑模式。系统采用部件单元式结构,包括运算器、存储器、控制器、信号及时序控制、内总线、外总线、外围接口及输入输出设备、大规模可编程逻辑器件等计算机功能部件的单元电路。

主要技术指标:1.输入电压:AC165~260V

2.输出电压/电流:5V/2A 、12V/0.2A 、-12V/0.2A

3.输出功率:15W

4.工作环境温度:-5℃~40℃

注意:当关闭电源后不要立即重新开启,关闭到重新开启之间需要至少30秒间隔。 1.4 指令译码电路原理分析

P(4)

P(3)

P(2)P(1)FZ FC SW-A

SW-B

T4SE5 SE4 SE3 SE2 SE1

I7 I6 I5 I4 I3 I2

图1.1 指令译码电路图

根据上图得出指令译码器的逻辑表达式如下:

其中P(1) ~P(4)为低电平有效,当选用时该信号为零;I7 ~I2表示机器指令前六位;SE5 ~SE1为微控制器但愿位地址锁存器的强制端输出;SWA SWB 均为1(启动程序时)。

此设计中设定为P (1)有效,P (2) P (3)均无效,FC FZ T4无需考虑。 由算出的SE5 ~SE1(SE5 ~SE1分别对应UA0~UA4)可知操作码对应的入口微地

址。

1.5 寄存器译码电路原理分析

S 1S 2

D 1D 4

ENB

译码器

LDB0

LDR1

LDR2

I1I0

LDRi

S 1S 2

D 1D 4

ENB

译码器

S 1S 2

D 1D 4

ENB

译码器

R0-B

R1-B

R2-B

RD-B

RS-B

RI-B

I3I2

图1.2 寄存器译码电路图

寄存器的输入、输出不仅决定于输入、输出开关,还与机器指令的后四位(即I3~I0)有关,由其决定哪个寄存器被选中。 1.5.1 寄存器的输入

LDRi 为寄存器的输入开关,且为低电平有效(即LDRi=0),I1、I0对寄存器进行选择,决定数据进入哪个寄存器。

表1-1 寄存器的输入控制表

LDRi I1 I0

LDR0 0 0 0

LDR1 0 0 1

LDR2 0 1 0

1.5.2 寄存器的输出

表1-2 寄存器的输出控制表

RS-B RD-B RI-B I3 I2

R0-B 0 1 1 0 0

R1-B 0 1 1 0 1

R2-B 0 1 1 1 0

1 1 0 ××

RS-B、RD-B、RI-B为寄存器的输出开关,且为低电平有效;I3、I2对寄存器进行选择,决定从哪个寄存器输出指令;从原理图上可以得出R2-B的输出,若RI-B有效则无需关注I3、I2因而I3、I2可为任意状态。

1.6 微代码格式如下

S3 S2 S1 S0 M CN WE A9 A8 A B C μA5~μA0

24 23 22 21 20 19 18 17 16 15 14 12 11 10 9 8 7 6 5 4 3 2 1

每个字段的具体含义如下:

(1)字段24~19控制运算器的控制端,通过改变S3~CN来决定对数据进行何种算术或逻辑运算。本设计中全部为正逻辑运算。

表1-3 运算控制表

(2) 字段18为控制对主存W/R 的开关

表1-4 控制主存读写表

(3) 字段17、16控制24译码器的输出端,对Y0、Y1、Y2进行选择。

表1-5 控制译码器输出表

(4)字段15~7为A 、B 、C 三个开关控制端。

表1-6 A 字段控制表

表1-7 B 字段控制表

A9 A8 Yi 操作 说明

0 0 Y0 选中SW-B INPUT UNIT 的开关 0 1 Y1 选中CE MAIN MEN 的控制片选开关 1 0 Y2 选中LED-B OUTPUT UNIT 的开关

1 1 × NULL

算术运算 逻辑运

无进位 有进位 M 0 0 1 CN

1

×

15 14 13 开关 说明 0 0 1 LDDRi 控制寄存器Ri 的写入 0 1 0 LDDR1 暂存器DR1的控制开关 0 1 1 LDDR2 暂存器DR2的控制开关 1 0 0 LDIR 指令寄存器IR 的控制开关

1 0 1 LOAD 非自动输入的数据装载入PC 计数器的控制开关 1 1 0 LDAR 地址寄存器AR 的控制开关 0

0 0 NULL 空操作

A9 A8 WE 说明

0 1 0 对主存进行对操作 0

1 1 对主存进行写操作

12 11 10 开关 说明

0 0 1 RS-B 寄存器R0、R1、R2的输出开关 0 1 0 RD-B 寄存器R0、R1、R2的输出开关 0 1 1 RI-B 寄存器R0、R1、R2的输出开关

表1-8 C字段控制表

9 8 7 开关说明

0 0 1 P(1) 指令译码器中的P(1)为低电平有效

0 1 0 P(2) 指令译码器中的P(2)为低电平有效

0 1 1 P(3) 指令译码器中的P(3)为低电平有效

1 0 0 P(4) 指令译码器中的P(4)为低电平有效

1 1 0 LDPC 将自动输入的数据加1后输入到PC计数器中的控

制开关

1 0 1 AR 本设计中不涉及

0 0 1 NULL 空操作

(5)字段6~1为该条微程序的八位二进制后继地址,其决定顺序执行哪条微程序。

1.7 故障处理

(1)如果出现灯的亮、灭与输入的二进制数有冲突,先检查连接灯的排线是否接反,如果正确,则检查操作时是否出现错误操作。

(2)在编程、校验、运行时一定把编程开关以及STATE UNIT中的“STEP、STOP”置为相应的地方。

(3)操作时如果出现地址灯或数据灯不正常,检查机器指令对应的微代码是否正确的写入到存储器中,如果正确,则检查排线CE、A8、A9、WE采用换排线,以防

排线内部断开或其他故障。

(4)操作中如果出现灯不变化,一直不变或混乱,这时据检查控制脉冲的按钮是否不灵敏。

(5)一定在操作时,严格按照步骤进行,并对照显示灯上的内容是否与预测的一致,每操作一步都要弄清为什么,防止出现无法正常运行。

2 总体设计

2.1 设计内容

部件实验过程中,各部件单元的控制信号是人为模拟产生的,而本实验将能在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。计算机数据通路的控制将由微程序控制器完成。CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一段微程序。

2.1.1 微程序控制电路与微指令格式

1)微程序控制器的组成其中控制存储器采用3片2816的E2PROM;微命令寄存器18位,用两片8D触发器和一片4D触发器组成;微地址寄存器6位,用3片正沿触发的双D触发器组成。在不判断测试的情况下T2时刻打入微地址寄存器的内容,即为下一条微指令地址。当T4时刻进行测试判别时,转移逻辑满足条件后输出的负脉冲通过强置端将某一触发器置为“1”状态,完成地址修改。

2)在微控制器实验电路中设有一个编程开关,具有三种状态:PROM(编程)、READ(校验)、RUN(运行)。

说明:当处于“编程状态”时,可根据微地址和微指令格式将微指令二进制代码写入到控制存储器2816中;当处于“校验状态”时,可以对写入控制存储器中的二进制代码进行验证,从而可以判断写入的二进制代码是否正确;当处于“运行状态”

时,只要给出微程序的入口微地址,则可根据微程序流程图自动执行微程序。

2.2 时序电路分析

T1、T2、T3、T4为节拍控制端,本设计用了T4节拍控制端,当指令通过译码器P(1)时,P(1)对操作码进行测试,通过节拍脉冲T4的控制,以便识别所要求的操作。

TS1时进行微程序控制器控制,TS2时进行微指令寄存器控制,TS时控制LDIR、LDAR,TS4时对P(1)、P(2)、P(3)、P(4)、AR、LOPC、LDRi、LDDR1、LDDR2进行控制。

2.3 指令类型

表2-1 指令类型

指令类型指令

名称

指令格式助记符寻址方式说明

输入输出类指令输入

指令

IN 1 R0 寄存器寻

IN→R0

输入

指令7 6 5 4 3 2 1 0

OP Rd

IN 2 R1 寄存器寻

IN→R1

输出

指令

OUT Addr 直接寻址[Addr]→

LED-B

7 6 5 4 3 2 1 0

OP Rd

指令类别指令

名称

指令格式助记符寻址方式功能说明

寄存器访问类指令寄存

器间

传送

指令

MOV R0,R2

寄存器寻

R0→ R2

程序控类指令无条

件转

JMP addr 立即寻址

Addr →

PC

存储

指令

7 6 5 4 3 2 1 0

OP

7 6 5 4 3 2 1 0

Addr

STA Addr,R0 直接寻址R0→Addr

算术运算指令逻辑

或运

算指

OR R2,Addr 直接寻址

[Addr]

+R2→R2

加法

指令

7 6 5 4 3 2 1 0

OP R0 R1

ADD R0,R1

寄存器寻

R0+R1→

R0

7 6 5 4 3 2 1 0

OP Rs Rd

7 6 5 4 3 2 1 0

Addr

7 6 5 4 3 2 1 0

OP

7 6 5 4 3 2 1 0

Addr

7 6 5 4 3 2 1 0

OP Rs Rd

2.4 汇编层的设计

PC计数器在用清零开关CLR清零后,通过控制台进入机器指令执行状态,然后开始运行微程序。此时只有P(1)有效,即P(1)=0,P(2)=P(3)=P(4)=1,且SWB,SWA仍为11。此处将运行P(1)的上一步微指令的后继地址设为40Q(即100000),应用指令译码器的逻辑表达式对其进行改变得出微程序入口地址。由于决定入口地址的只有机器指令的前四位(即I7~I4),则通过拟定其先得出入口地址,并检验拟定的前四位是否合理,根据指令设计风格和寄存器译码原理分析表可以确定后四位(即I3~I0)。

具体说明设计:

以下为指令译码的表达式:

说明:UA0----UA5分别对应SEI----SE5

具体设计:

(1)I7---I4的设计

IN1的入口微地址为010000即20

SE1=SE2=SE3=SE4=1即对应的I4=I5=I6=I7=0

IN2的入口微地址为010001即21

SEI=0 SE2=SE3=SE4=1即对应的I4=1 I5=I6=I7=0

IN3的入口微地址为010010即22

SE1=SE2=SE4=1 SE3=0 即对应的I6=1 I4=I5=I7=0

AND的入口微地址为010011即23

SE1=SE4=0 SE2=SE3=1 即对应的I4=I7=1 I2=I3=0

STA的入口微地址为010100即24

SE1=SE3=0 SE2=SE4=1 即对应的I4=I6=1 I2=I7=0

AND的入口微地址为010101即25

SE1=SE2=SE3=0 SE4=1 即对应的I4=I5=I6=1 I7=0

MOV的入口微地址为010110即26

SE2=SE3=0 SE1=SE4=1 即对应的I5=I6=1 I4=I7=0

OUT的入口微地址为010111即27

SE1=SE2=0 SE3=SE4=1 即对应的I4=I5=0 I6=I7=1

JMP的入口微地址为011000即30

(2)I3---I0的设计

IN R0 打开寄存器R0的输入门则选择使能端LDRI有效,I0,I1为00,I2,I3为00

IN R1 打开寄存器R1的输入门则选择使能端LDRI有效,I0,I1为01,I2,I3为00

ADD R0,R1 打开寄存器R0,R1的输出门,选择RS-B控制端,最后再打开R0的输入门,选择LDRI有效,则I0,I1为00,I2,I3为01

STA [Addr1],R0 打开R0寄存器的输出门,I0=I1=I2=I3=0

AND R2,[Addr1] 打开R2寄存器的输出门,最后再打开R2的输入门,I3=I1=1,I0=I2=0 MOV R0,R2 打开R0,R2的输出门,最后打开R0的输入门,I3=1,I2=I1=I0=0

得到下表:

表2-2 汇编层设计表

指令入口微地址汇编语言I7~I4 I3~I0

IN1 010000 IN R0 0000 0000

IN2 010001 IN R1 0001 0001

ADD 011001 ADD R0,R1 1001 0100

0101 0000

STA 010101 STA

[Addr1],R0

IN3 010100 IN R2 0100 0010

0101 1010

OR 010101 OR

R2,[Addr1]

MOV 010110 MOV R0,R2 0110 1000

STA 010101 STA

0101 0000

[Addr2],R0

OUT 010011 OUT [Addr2] 0011 0000

JMP 010010 00H→Pc 0010 0000

2.5 机器指令的设计与编写

表2-3 机器指令表

助记符机器指令码说明

IN 1 R0OOOOOOOO Input device→R0

IN 2 R100010001 Input device→R1

AND R0,R110010100 RO+R1→R0

STA Addr,R001010000 XXXXXXXX R0→[Addr]

IN 3 R201000010 Input device→R2

OR R2,Addr01011010 XXXXXXXX [Addr]+R2→R2

MOV R0,R201101000 R2→R0

OUT Addr00110000 XXXXXXXX [Addr] →LED

JMP addr00100000 XXXXXXXX [Addr] →PC

2.6 入口微地址形成图

根据汇编层的I7-------I0的设计,可以得到各个机器指令的入口位地址,从而得到入口微地址形成图

图2.1 入口微地址形成图

PC->AR PC+1 RAM->BUS BUS->IR P(1)

IN

20

21

22

23

IN

ADD STA 24

IN

25

OR 26

MOV 27

OUT

30

JMP

3详细设计

3.1 详细设计说明

1)微指令格式设计说明

微指令字长共24位

S3 S2 S1 S0 M CN为运算的控制信号;WE为存储器工作时的读/写控制;A9、A8分别选择了Y0 Y1 Y2,而Y0 Y1 Y2又分别控制着SW-B、CE、LED-B;A字段为一些译码字段,主要负责打开寄存器的输入门;B字段也是译码字段,主要负责一些打开门的使能端;C字段通钢是译码字段,其中P(1)~P(4)是四个测试字位,其功能是根据机器指令及相应微代码进行译码,使微程序转入相应的微地址入口,从而实现微程序的顺序、分支、循环运行。

uA5~uA0为后续地址,主要是实现连续循环

2)存储器工作原理

此存储器使用的是6116片主要控制端有CE、WE。片中的A7~A0是弟子端,D7~D0为数据端,在存储数据时,主存会通A7~A0端对其地址的识别相应的把数据存储到该地址下,同样,再取数据时也如此。

当CE=1时,无论WE=0/1,存储器都不工作,处于无状态

当CE=0时且WE=0进行读操作;当CE=0时WE=1进行写操作

3)运算器的工作原理

运算器是由两个数据暂存器DR1、DR2来锁存数据和74LS181来控制运算,S3 S2 S1

S0 M CN 为控制运算的控制信号,当控制信号确定后,此时DR1、DR2中的数会进行高四位和高四位,低四位和低四位相应运算,通过ALU-B 再输出显示。 本设计采用加、减运算,根据74LS181的逻辑功能表分别得出: ADD:100101 SUB:011000 4)输入操作的工作原理

当药往寄存器中输入数据时,打开SW-B 的门,即让SW-B 处于低电平同时代开该寄存器的输入门,数据通过总线BUS 到达寄存器 输出操作工作原理

数据会通过OUTPUT 的数码管显示出,而数码管通过LED-B 控制,同时W/R=1时,数据会正常显示出。 5)程序计数器工作原理

一般用在PC->AR,PC+1.程序计算器PC 中存放的是地址,每当进行PC+1时,只需打开PC 的输入门,PC 的内部会自动加1 6)指令寄存器

IR 为存放指令的寄存器,它会根据当前的地址取出相应的地址下的指令 3.2 设计控制台 1 控制台公操作

对机器进行总清零CLR 1-0-1。选中P (4),通过译码形成入口地址。

2 写内存操作

形成入口地址后,执行写操作。

1.

S3 S2 S1S0 M CN WE A9A8 A B C UA5…UA0

000000

0 11

000 000

100

xxxxxx

NULL

LDAR

PC-B LDPC

S3 S2 S1 S0 M CN WE A9A8 A B C UA5…UA0 000000

11

000

000

100 001000

NULL

P(4)

KWE(0

P(4)

(1)打开PC-B 将数据送到总线上; (2)打开LDAR 将数据从总线流到AR 中; (3)打开LDPC ,让自动加1的数据进入PC 中。 2.

S3 S2 S1 S0 M CN WE A9A8 A B C UA5…UA0

000000

00 010

000

000

xxxxxx

NULL

SW-B

LDDR1 NULL

(1)打开SW-B 将数据送到总线上, (2)打开LDDR1将数据从总线流到DR1中。 3.

S3 S2 S1 S0 M CN WE A9A8 A B C UA5…UA0

000001

1 01 000 101

100

xxxxxx

F=A

WE

CE

NULL

ALU-B NULL

(1)打开ALU-B 将数据送到总线上,其间DR1中的数据相当于在ALU 中做F=A 的运算;

(2)打开CE 、WE 置成01状态,将数据从总线流到主存相应地址单元中,完成数据写操作。

3 读内存操作 1.

S3 S2 S1S0 M CN WE

A9A8 A B C UA5…UA0

000000 0 11

110 110 110 xxxxxx

NULL

LDAR

PC-B

LDPC

(1)打开PC-B 将数据送到总线上; (2)打开LDAR 将数据从总线流到AR 中;

(3)打开LDPC ,让自动加1的数据进入PC 中。 2.

S3 S2 S1S0 M CN WE

A9A8 A B C UA5…UA0

000000

0 01 010 000 000

xxxxxx

NULL

WE

CE

LDDR1

NULL

(1)打开CE 、WE 置成00状态,将数据从主存送到总线上; (2)打开LDDR1将数据从总线流到DR1中。

RAM→BUS BUS→DR1

PC→AR PC+1

KRD(00)

P(4)

3.

S3 S2 S1S0 M CN WE A9A8 A B C UA5…UA0 000000

1

10 000 101

000

001000

NULL

LED-B

NULL

ALU-B NULL

(1)打开ALU-B 将数据送到总线上,其间DR1中的数据相当于在ALU 中做F=A 的运算;

(2)打开

LED-B ,数据从总线流到输出单元,在数码管上显示出来,完成数据读操作。

4 执行程序流程图操作

形成入口地址后,开始执行程序。

所有都为空操作,只是要通过后继地址转入到运行微程序的流程中。

3.3 控制台总流程图

S3 S2 S1 S0 M CN

WE A9 A8 A B

C

UA5…UA0

0 0 0 0 0 0

1 1 0 0 0

0 0 0 0 0 0

xxxxxx

NULL

图3.1 控制台总流程图

3.4 设计微程序流程 1 公共取指操作

所有的子流程都要运行取指的公操作,然后才能进行各自的操作。

1.

S3 S2 S1 S0 M CN

WE A9A8 A B C UA5…UA0

000000

0 11

000 000 100 xxxxxx

NULL

LDAR

PC-B

LDPC

(1)打开PC-B,将PC 中的数据(指令操作码地址)送到总线上

(2)打开LDAR 将数据从总线流到中

(3)打开LDPC ,让自动加1的数据进入PC 中。 2.

S3 S2 S1 S0 M CN

WE

A9A8

A B C UA5…UA0

PC→AR

PC+1 RAM →BUS BUS →IR

运行微程序

PC+1

计算机组成原理

计算机组成原理大型实验 报告 (2010/2011第2学期------第19周) 指导教师: 班级: 姓名: 学号: 计算机组成原理课程设计实验报告 一、目的和要求 目的: 深入了解计算机各种指令的执行过程,以及控制器的组成,指令系统微程序设计的具体知识,进一步理解和掌握动态微程序设计的概念;完成微程序控制的特定功能计算机的指令系统设计和调试。 要求: (1)、内容自行设计相关指令微程序;(务必利用非上机时间设计好微程序) (2)、测试程序、实验数据并上机调试; (3)、报告内容: 包括 1、设计目的 2、设计内容 3、微程序设计(含指令格式、功能、设计及微程序) 4、实验数据(测试所设计指令的程序及结果)。(具体要求安最新规范为准) 二、实验环境 TEC—2机与PC机。 三、具体内容 实验内容: (1)把用绝对地址表示的内存单元A中的内容与内存单元B中的内容相加,结果存于内存单元C中。 指令格式:D4××,ADDR1,ADDR2,ADDR3四字指令(控存入口100H) 功能:[ADDR3]=[ADDR1]+[ADDR2] (2)将一通用寄存器内容减去某内存单元内容,结果放在另一寄存器中。 指令格式:E0DRSR,ADDR(SR,DR源、目的寄存器各4位)双字指令(控存 入口130H) 功能:DR=SR-[ADDR]

(3)转移指令。判断两个通用寄存器内容是否相等,若相等则转移到指定绝对地址,否则顺序执行。 指令格式:E5DRSR,ADDR双字指令(控存入口140H) 功能:ifDR==SRgotoADDRelse顺序执行。 设计:利用指令的CND字段,即IR10~8,令IR10~8=101,即CC=Z 则当DR==SR时Z=1,微程序不跳转,接着执行MEMPC(即ADDRPC),而当DR!=SR 时Z=0,微程序跳转至A4。 实验设计并分析: 第一条:把用绝对地址表示的内存单元A中的内容与内存单元B中的内容相加,结果存于内存单元C中。 指令格式:D4××,ADDR1,ADDR2,ADDR3四字指令(控存入口100H) 功能:[ADDR3]=[ADDR1]+[ADDR2] 指令格式: D4XX ADDR1 ADDR2 ADDR3 微程序: PC→AR,PC+1→PC:00000E00A0B55402 MEM→AR:00000E00 10F00002 MEM→Q:00000E00 00F00000 PC→AR,PC+1→PC:00000E00 A0B5 5402 MEM→AR:00000E00 10F0 0002 MEM+Q→Q:00000E01 00E0 0000 PC→AR,PC+1→PC:00000E00 A0B5 5402 MEM→AR:00000E0010F0 0002 Q→MEM,CC#=0:00290300 10200010 指令分析: PC->AR,PC+1->PC 0000 0000 1110 0000 0000 1010 0000 1011 0101 0101 0100 0000 0010 MEM->AR 0000 0000 1110 0000 0000 0001 0000 1111 0000 0000 0000 0000 0100 MEM->Q 0000 0000 1110 0000 0000 0000 0000 1111 0000 0000 0000 0000 0000 PC->AR,PC+1->PC 0000 0000 1110 0000 0000 1010 0000 1011 0101 0101 0100 0000 0010 MEM->AR 0000 0000 1110 0000 0000 0001 0000 1111 0000 0000 0000 0000 0100 MEM+Q->Q 0000 0000 1110 0000 0001 0000 0000 1110 0000 0000 0000 0000 0000 PC->AR,PC+1->PC 0000 0000 1110 0000 0000 1010 0000 1011 0101 0101 0100 0000 0010 MEM->AR 0000 0000 1110 0000 0000 0001 0000 1111 0000 0000 0000 0000 0100

计算机组成原理实验1-汇编语言实验

微处理器与接口技术 实验指导

实验一监控程序与汇编语言程序设计实验 一、实验要求 1、实验之前认真预习,明确实验的目的和具体实验内容,设计好主要的待实验的程序,做好实验之前的必要准备。 2、想好实验的操作步骤,明确通过实验到底可以学习哪些知识,想一想怎么样有意识地提高教学实验的真正效果。 3、在教学实验过程中,要爱护教学实验设备,认真记录和仔细分析遇到的现象与问题,找出解决问题的办法,有意识地提高自己创新思维能力。 4、实验之后认真写出实验报告,重点在于预习时准备的内容,实验数据,实验过程、遇到的现象和解决问题的办法,自己的收获体会,对改进教学实验安排的建议等。善于总结和发现问题,写好实验报告是培养实际工作能力非常重要的一个环节,应给以足够的重视。 二、实验目的 【1】学习和了解TEC-XP16教学实验系统监控命令的用法; 【2】学习和了解TEC-XP16教学实验系统的指令系统;

【3】学习简单的TEC-XP16教学实验系统汇编程序设计。 三、实验注意事项 (一)实验箱检查 【1】连接电源线和通讯线前TEC-XP16实验系统的电源开关一定要处于断开状态,否则可能会对TEC-XP16实验系统上的芯片和PC机的串口造成损害。 【2】五位控制开关的功能示意图如下: 【3】几种常用的工作方式【开关拨到上方表示为1,拨到下方为0】 (二)软件操作注意事项 【1】用户在选择串口时,选定的是PC机的串口1或串口2,而不是TEC-XP16实验系统上的串口。即选定的是用户实验时通讯线接的PC机的端口; 【2】如果在运行到第五步时没有出现应该出现的界面,用户需要检查是不是打开了两个软件界面,若是,关掉其中一个再试; 【3】有时若TEC-XP16实验系统不通讯,也可以重新启动软件或是重新启动PC再试; 【4】在打开该应用软件时,其它的同样会用到该串口的应用软件要先关掉。

计算机组成原理实验题

一.这是一个判断某一年是否为润年的程序,运行可执行程序Ifleap.exe后,输入具体的年份,可输出是本年是否为闰年的提示信息。 DATA SEGMENT ;定义数据段 INFON DB 0DH,0AH,'PLEASE INPUT A YEAR: $' ;声明空间存储输入提示信息,其中0d回车,0a换行 Y DB 0DH,0AH,'THIS IS A LEAP YEAR! $' ;声明空间存储是闰年提示信息,同上另起一行输出 N DB 0DH,0AH,'THIS IS NOT A LEAP YEAR! $' ;声明空间存储不是闰年提示信息,同上另起一行输出 W DW 0 ;声明空间存储输入年份解析后生成的年份数字 BUF DB 8 DB ? DB 8 DUP(?) ;声明空间作为缓冲区,总共10个字节,第一个表示准备接受的字DATA ENDS STACK SEGMENT STACK DB 200 DUP(0) STACK ENDS ;定义一个栈,200字节 CODE SEGMENT ASSUME DS:DATA,SS:STACK,CS:CODE START:MOV AX,DATA MOV DS,AX ;指定堆栈 LEA DX,INFON ;在屏幕上显示提示信息 MOV AH,9 INT 21H ;将infon开始的字符串输出到屏幕 LEA DX,BUF ;从键盘输入年份字符串 MOV AH,10 INT 21H MOV CL, [BUF+1] ;获取实际输入长度 LEA DI,BUF+2 ;获取字符串首地址 CALL DATACATE ;调用子程序,将输入字符串传化为年份数字 CALL IFYEARS ;调用子程序,判断是否闰年 JC A1 ;如果进位标记C为1则跳转到a1

计算机组成原理实验

计算机组成原理 一、8 位算术逻辑运算 8 位算术逻辑运算实验目的 1、掌握简单运算器的数据传送通路组成原理。 2、验证算术逻辑运算功能发生器74LS181的组合功能。 8 位算术逻辑运算实验内容 1、实验原理 实验中所用的运算器数据通路如图3-1所示。其中运算器由两片74LS181以并/串形成8位字长的ALU构成。运算器的输出经过一个三态门74LS245(U33)到ALUO1插座,实验时用8芯排线和内部数据总线BUSD0~D7插座BUS1~6中的任一个相连,内部数据总线通过LZD0~LZD7显示灯显示;运算器的两个数据输入端分别由二个锁存器74LS273(U29、U30)锁存,两个锁存器的输入并联后连至插座ALUBUS,实验时通过8芯排线连至外部数据总线EXD0~D7插座EXJ1~EXJ3中的任一个;参与运算的数据来自于8位数据开并KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,通过数据开关输入的数据由LD0~LD7显示。 图中算术逻辑运算功能发生器74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M并行相连后连至SJ2插座,实验时通过6芯排线连至6位功能开关插座UJ2,以手动方式用二进制开关S3、S2、S1、S0、CN、M来模拟74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M;其它电平控制信号LDDR1、LDDR2、ALUB`、SWB`以手动方式用二进制开关LDDR1、LDDR2、ALUB、SWB来模拟,这几个信号有自动和手动两种方式产生,通过跳线器切换,其中ALUB`、SWB`为低电平有效,LDDR1、LDDR2为高电平有效。 另有信号T4为脉冲信号,在手动方式下进行实验时,只需将跳线器J23上T4与手动脉冲发生开关的输出端SD相连,按动手动脉冲开关,即可获得实验所需的单脉冲。 2、实验接线 本实验用到4个主要模块:⑴低8位运算器模块,⑵数据输入并显示模块,⑶数据总线显示模块,⑷功能开关模块(借用微地址输入模块)。

计算机组成原理实验

实验一基础汇编语言程序设计 一、实验目的: 1、学习和了解TEC-XP16教学实验系统监控命令的用法。 2、学习和了解TEC-XP16教学实验系统的指令系统。 3、学习简单的TEC-XP16教学实验系统汇编程序设计。 二、预习要求: 1、学习TEC-XP16机监控命令的用法。 2、学习TEC-XP16机的指令系统、汇编程序设计及监控程序中子程序调用。 3、学习TEC-XP16机的使用,包括开关、指示灯、按键等。 4、了解实验内容、实验步骤和要求。 三、实验步骤: 在教学计算机硬件系统上建立与调试汇编程序有几种操作办法。 第一种办法,是使用监控程序的A命令,逐行输入并直接汇编单条的汇编语句,之后使用G命令运行这个程序。缺点是不支持汇编伪指令,修改已有程序源代码相对麻烦一些,适用于建立与运行短小的汇编程序。 第二种办法,是使用增强型的监控程序中的W命令建立完整的汇编程序,然后用M命令对建立起来的汇编程序执行汇编操作,接下来用G命令运行这个程序。适用于比较短小的程序。此时可以支持汇编伪指令,修改已经在内存中的汇编程序源代码的操作更方便一些。 第三种办法,是使用交叉汇编程序ASEC,首先在PC机上,用PC机的编辑程序建立完整的汇编程序,然后用ASEC对建立起来的汇编程序执行汇编操作,接下来把汇编操作产生的二进制的机器指令代码文件内容传送到教学机的内存中,就可以运行这个程序了。适用于规模任意大小的程序。

在这里我们只采用第一种方法。 在TEC-XP16机终端上调试汇编程序要经过以下几步: 1、使教学计算机处于正常运行状态(具体步骤见附录联机通讯指南)。 2、使用监控命令输入程序并调试。 ⑴用监控命令A输入汇编程序 >A 或>A 主存地址 如:在命令行提示符状态下输入: A 2000↙;表示该程序从2000H(内存RAM区的起始地址)地址开始 屏幕将显示: 2000: 输入如下形式的程序: 2000: MVRD R0,AAAA ;MVRD 与R0 之间有且只有一个空格,其他指令相同 2002: MVRD R1,5555 2004: ADD R0,R1 2005: AND R0,R1 2006: RET ;程序的最后一个语句,必须为RET 指令 2007:(直接敲回车键,结束A 命令输入程序的操作过程) 若输入有误,系统会给出提示并显示出错地址,用户只需在该地址重新输入正确的指令即可。 ⑵用监控命令U调出输入过的程序并显示在屏幕上 >U 或>U 主存地址

计班计算机组成原理复习重点白中英版

计算机组成原理课程总结&复习考试要点 一、考试以讲授过的教材中的内容为主,归纳要点如下: 第1章 -第2章计算机概念运算方法和运算器 (一)学习目标 1.了解计算机的分类和应用。 2.掌握计算机的软、硬件构成。 3.掌握计算机的层次结构。 3.掌握数的原码、反码、补码的表示方法。 4.掌握计算机中数据的定点表示和浮点表示方法,并熟练掌握各种表示方法下所能表示的数据的范围。 5.理解定点加法原理及其判断溢出的方法。 6.了解计算机定点乘法、除法的实现方法。 7.了解浮点加法,乘法,除法的实现方法。 8.理解ALU运算器的工作原理及其扩展方法。 (二)第1章学习内容 第一节计算机的分类和应用 要点:计算机的分类,计算机的应用。 第二节计算机的硬件和软件 要点:了解计算机的硬件构成及各部分的功能;了解计算机的软件分类和发展演变。 第三节计算机系统的层次结构 要点:了解计算机系统的层次结构。 (三)第2章学习内容 第一节数据和文字的表示方法 要点:△定点数的表示方法,及其在原码、反码和补码表示下的数值的范围;△○浮点数的表示方法及其不同表示格式下数据的表示范围;常见汉字和字符的几种表示方法; 第二节定点加法、减法运算 要点:△补码加、减法及其溢出的检测方法;二进制加法器和十进制加法器的逻辑构成。 第三节定点乘法运算 要点:原码并行乘法原理;不带符号的阵列乘法器;补码并行乘法原理;○直接补码阵列乘法器。 第四节定点除法运算 要点:理解原码除法原理以及并行除法器的构成原理。 第五节多功能算术/逻辑运算单元 要点:△74181并行进位运算器;74182进位链;△○多位ALU的扩展。 第六节浮点运算运算和浮点运算器

计算机组成原理实验完整版

河南农业大学 计算机组成原理实验报告 题目简单机模型实验 学院信息与管理科学学院 专业班级计算机科学与技术2010级1班 学生姓名张子坡(1010101029) 指导教师郭玉峰 撰写日期:二○一二年六月五日

一、实验目的: 1.在掌握各部件的功能基础上,组成一个简单的计算机系统模型机; 2.了解微程序控制器是如何控制模型机运行的,掌握整机动态工作过程; 3定义五条机器指令,编写相应微程序并具体上机调试。 二、实验要求: 1.复习计算机组成的基本原理; 2.预习本实验的相关知识和内容 三、实验设备: EL-JY-II型计算机组成原理试验系统一套,排线若干。 四、模型机结构及工作原理: 模型机结构框图见实验书56页图6-1. 输出设备由底板上上的四个LED数码管及其译码、驱动电路构成,当D-G和W/R均为低电平时将数据结构的数据送入数据管显示注:本系统的数据总线为16位,指令、地址和程序计数器均为8位。当数据总线上的数据打入指令寄存器、地址寄存器和程序寄存器时,只有低8位有效。 在本实验我们学习读、写机器指令和运行机器指令的完整过程。在机器指令的执行过程中,CPU从内存中取出一条机器指令到执行结束为一个指令周期,指令由微指令组成的序列来完成,一条机器指令对应一段微程序。另外,读、写机器指令分别由相应的微程序段来完成。

为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,必须设计三个控制操作微程序。 存储器读操作(MRD):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“00”时,按“单步”键,可对RAM连续读操作。 存储器写操作(MWE):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“10”时,按“单步”键,可对RAM连续写操作。 启动程序(RUN):拨动开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“11”时,按“单步”键,即可转入第01号“取指”微指令,启动程序运行。 注:CA1、CA2由控制总线的E4、E5给出。键盘操作方式有监控程序直接对E4、E5赋值,无需接线。开关方式时可将E4、E5接至控制开关CA1、CA2,由开关控制。 五、实验内容、分析及参考代码: 生成的下一条微地址 UA5 UA0 MS5 MS0 微地址

计算机组成原理课程设计

《计算机组成原理》大作业报告 题目名称:交通灯控制系统设计 学院(部 ): 计算机学院 专业:计算机科学与技术 学生姓名: 班级 学号 最终评定成绩:___________________________________ 湖南工业大计算机学院 目录

交通在人们的日常生活中占有重要的地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。近年来随着科技的飞速发展,的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 本系统采用单片机AT89S52为中心器件来设计交通灯控制器,系统实用性强、操作简单、扩展性强。本设计就是采用单片机模拟十字路口交通灯的各种状态显示以及倒计时时间。 本设计系统由单片机I/O 口扩展系统、交通灯状态显示系统、LED数码显示系统、复位电路等几大部分组成。系统除基本的交通灯功能外,还具有倒计时等功能,较好的模拟实现了十字路口可能出现的状况。 软件上采用C51编程,主要编写了主程序,LED数码管显示程序,中断程序延时程序等。经过整机调试,实现了对十字路口交通灯的模拟。 1. 引言 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在1 9世纪就已出现了。 1858 年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两以旋转式方形玻璃提灯组成,红色表示“停止” ,绿色表示“注意” 。1869 年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,19xx 年始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止” ,绿灯亮表示“通行”。 19xx 年,又出现了带控制的红绿灯和红外线红绿灯。带控制的4 红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。19xx 年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉路口的停

计算机组成原理-实验一

《计算机组成原理-实验一》 实验报告 韶关学院数信学院 2010级信息与计算科学 2012年 9月

实验一:本实验分三部分: 1熟悉Linux常用命令(上) 2 Linux下程序设计基础(中) 3汇编语言与机器指令(下)(暂略) 实验一熟悉Linux常用命令(上) 一,实验要求: 1,熟练Linux下的常用命令. 2,熟练地操作linux. 二,实验内容: 练习使用Linux常用命令; 三,实验环境: windows7的vmware的Redhat。 四,Linux下常用命令实验操作:(以下命令若权限不够,要在命令前加sudo) 1. 写出下面命令每个部分含义,字符C表示命令(Command)、O表示选项(Option)、OA表示选项的参数(Option Argument)、CA表示命令的参数(Command Argument),如: C OOA O OA C A Answer $ lpr –Pspr –n 3 proposal.ps Command line Linux命令行的语法结构: $ command [[-]option(s)] [option argument(s)] [command argument(s)] 含义: ● $:linux系统提示符,您的linux系统可能是其他的提示符 ● Command :linux命令的名字 ● [[-]option(s)] :改变命令行为的一个或多个修饰符,即选项 ● [option argument(s)] :选项的参数 ● [command argument(s)] :命令的参数 1) ls -la convert.txt 2) more convert.txt 3) pwd 4) cat file1 file2 file3 5) rm -r temp 6) ping –c 3 https://www.wendangku.net/doc/8413551400.html, 7) telnet https://www.wendangku.net/doc/8413551400.html, 13 8) cc -o short short.c -lbaked 9) chmod u+rw file1.c 10) uname –n

计算机组成原理实验七

图16 启停单元布局图 序电路由1片74LS157、2片74LS00、4个LED PLS2、PLS3、PLS4)组成。当LED发光时 图17

图17 时序单元布局图 (二)启停、脉冲单元的原理 1.启停原理:(如图18) 启停电路由1片7474组成,当按下RUN按钮,信号输出RUN=1、STOP=0,表示当前实验机为运行状态。当按下STOP 按钮,信号RUN=0、STOP=1,表示当前实验机为停止状态。当 系统处于停机状态时,微地址、进位寄存器都被清零,并且可 通过监控单元来读写内存和微程序。在停止状态下,当HALT 时有一个高电平,同时HCK有一个上升沿,此时高电平被打入 寄存器中,信号输出RUN=1、STOP=0,使实验机处于运行状态。

图18 启停单元原理图 2.时序电路: 时序电路由监控单元来控制时序输出(PLS1、PLS2、PLS3、PLS4)。实验所用的时序电路(如图19)可产生4个等间隔的时序信号PLS1、PLS2、PLS3、PLS4。为了便于监控程序流程,由监控单元输出PO信号和SIGN脉冲来实现STEP(微单步)、GO (全速)和HALT(暂停)。当实验机处于运行状态,并且是微单步执行,PLS1、PLS2、PLS3、PLS4分别发出一个脉冲,全速执行时PLS1、PLS2、PLS3、PLS4脉冲将周而复始的发送出去。在时序单元中也提供了4个按钮,实验者可手动给出4个独立的脉冲,以便实验者单拍调试模型机。

图19 时序电路图 实验步骤 1.交替按下“运行”和“暂停”,观察运行灯的变化(运行:RUN 亮;暂停:RUN灭)。 2.把HALT信号接入二进制拨动开关,HCK接入脉冲单元的PLS1。按下表接线 接入开关位号 信号定 义 HCK PLS1孔 HALT H13孔 3.按启停单元中的停止按钮,置实验机为停机状态,HALT=1。 4.按脉冲单元中的PLS1脉冲按键,在HCK上产生一个上升

计算机组成原理实验五

上海大学计算机学院 《计算机组成原理实验》报告一 姓名:学号:教师: 时间:机位:报告成绩: 实验名称:指令系统实验 一、实验目的:1. 读出系统已有的指令,并理解其含义。 2. 设计并实现一条新指令。 二、实验原理:利用CP226实验仪(用74HC754即8D型上升沿触发器)上的K16…K23 开关为数据总线DBUS设置数据,其他开关作为控制信号,一条指令执行完 毕PC会自动加1,系统顺序执行下一条指令,但系统要进入一个新的指令序 列时,如跳转、转子程序等,必须给PC打入新的起始值——新指令序列的 入口地址。实验箱实现把数据总线的值(目标地址)打入PC的操作,以更新 PC值。 三、实验内容:1. 考察机器指令64的各微指令信号,验证该指令的功能。(假设R0=77H, A=11H, 77地址单元存放56H数据,64指令的下一条指令为E8) 2. 修改机器指令E8,使其完成“输出A+W的结果左移一位后的值到OUT” 操作。 四、实验步骤:1. 考察机器指令64的各微指令信号,验证该指令的功能。(假设R0=77H, A=11H, 77地址单元存放56H数据,64指令的下一条指令为E8) ①在初始化系统(Reset),进入微程序存储器模式(μEM状态),用NX键观 察64H,65H,66H,67H, 地址中原有的微指令,分析并查表确定其功能。 ②在EM状态下,Adr打入A0,DB打入64;按NX键,Adr显示A1,DB 打入E8。 ③在μEM状态下,在E8H、E9H、EAH、EBH下分别打入:FFDED8、CBFFFF、 FFFFFF、FFFFFF。 ④给μPC状态下,打入μPC(00)、PC(A0)、A(11)、W(00),按3次 NX输入R0(77)。 ⑤按下STEP键,观察实验现象。 2. 修改机器指令E8,使其完成“输出A+W的结果左移一位后的值到OUT” 操作。 ⑥继续按STEP键,直到进入E8状态下。 ⑦在EM状态下,打入Adr为77,DB为56。 ⑧按STEP键执行指令,观察实验现象。 五、实验现象:OUT寄存器的值为5A。 六、数据记录、分析与处理:实验结果和预期的一样。 七、实验结论:1、机器指令64对应的各微指令码为:FF77FF、D7BFEF、FFFE92、CBFFFF。其功能为:将R0寄存器的值打入地址寄存器MAR;存贮器EM将MAR输出地址所对应的值打入W寄存器;ALU直通门输出的值打入A寄存器,A、W中的值进行“与”运算,结果在A输出;PC+1,读出下一条指令并立即执行。 八、建议:暂无。

计算机组成原理课程综述

合肥学院 计算机组成原理综述论文 题目计算机组成原理综述系部计算机科学与技术系专业网络工程 班级网工(2)班 学生姓名邓传君 指导教师张向东 2014 年12 月24 日 计算机组成原理课程综述

内容摘要: 计算机组成原理(COMPUTER ORGANIZATION)是依据计算机体系结构,在确定且分配了硬件子系统的概念结构和功能特性的基础上,设计计算机各部件的具体组成,以及它们之间的连接关系,实现机器指令级的各种功能和特性,这点上说计算机组成原理是计算机体系结构的逻辑实现。 关键词:存储、指令、CPU、控制器、微命令 一、计算机组成原理课程综述 计算机组成原理是计算机应用和计算机软件专业以及其他相关专业必修的专业基础课,它主要讨论计算机各组成部件的基本概念、基本结构、工作原理及设计方法。教学实践证明,通过对该课程的学习,对于建立整机概念,研究各功能部件的相互连接与相互作用,进行各功能部件的逻辑设计,都有着重要的意义。组成原理是计算机类专业的一门主干必修课程,它以层次结构的观点来叙述计算机各主要功能部件及组成原理;以数据信息和控制信息的表示、处理为主线来组织教学。课程内容按横向方式组织,即不是自始至终介绍某一特定计算机的组成和工作原理,而是从一般原理出发,结合实例加以说明。 二、计算机组成原理内容和基本原理 下面是我对这门课程知识点的理解: 1.计算机有运算器、存储器、控制器、输入设备、输出设备五大部件组成。 2.指令和数据以同等地位存放于存储器内,并可按地址寻访。 3.指令和数据均用二进制数表示。 4.指令由操作码和地址码组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置。 5.指令在存储器内按顺序存放。通常,指令是顺序执行的,在特定条件下,可根据运算结果或根据设定的条件改变执行顺序。 6.机器以运算器为中心,输入输出设备与存储器间的数据传送通过运算器完成。 典型的冯·诺依曼机是以运算器为中心的,现代的计算机已转化为以存储器为中心: 1.运算器用来完成算术运算和逻辑运算,并将运算的中间结果暂存在运算器内。 2.存储器用来存放数据和程序。 3.控制器用来控制、指挥程序和数据的输入、运行以及处理运算结果。 4.输入设备用来将人们熟悉的信息形式转换为机器能识别的信息形式。

《计算机组成原理》课程标准

《计算机组成原理》课程标准 一、课程基本情况 课程名称:计算机组成原理 适用专业:计算机应用专业 课程性质:专业核心课程 计划学时:60学时 二、制定课程标准的依据 本课程教学标准依据中职计算机应用专业的专业教学标准中的人才培养目标和培养规格以及对 计算机组成原理课程教学目标要求而制定,用于指导计算网组成原理课程教学和课程建设。 三、课程性质 本课程是计算机应用专业的一门专业核心课程。本课程通过介绍计算机硬件基本结构、工作原理和分析设计方法等方面的知识,培养学生对计算机的整机概念有较完整清晰的认识,对计算机的硬件结构有深刻的理解和对硬件的分析与设计方法有一定的认识。同时也为学习后续课程打下一定的基础。 四、本课程与前续课程和后续课程的关系 本课程学习和训练之前,学生应已修完如下课程:计算机应用基础、数字电路,而他的后续课 程是计算机系统结构、计算机组成原理。本课程在他的前续课程和后续课程之间起到了纽带的作用。 五、课程的教育目标 1.知识、能力目标 (1)知道《计算机组成原理》这门学科的性质、地位和独立价值; (2)理解计算机系统的运算器、控制器、存储器、输入设备和输出设备五大组成部件的概念和功能,及以整机的工作原理; (3)理解数值数据的表示方法以及运算器的计算方法,了解非数值数据的表示方法和常用编码; (4)理解运算器、控制器、存储器、以及有关的输入设备和输出设备等各个部件的组成结构和基本功能; (5)掌握基本的定点数的加、减运算和实现的基本逻辑电路框图以及浮点数的表示方法; (6)掌握指令的概念和功能以及指令的各种寻址方式和指令类型; (7)知道存储器层次结构和主存系统的设计方法; (8)掌握CPU的功能及组成; (9)理解几种常见的外围设备的信息交换方式; (10)了解常用的外围设备和使用方法; (11)理解组合逻辑控制器和微程序控制器的基本的设计和分析方法。 2.方法、过程目标 (1)通过本课程的学习,培养学生通过计算机组成原理实验,进一步理解计算机内部的工作原

计算机组成原理实验五存储器读写实验

实验五 存储器读写实验实验目的 1. 掌握存储器的工作特性。 2. 熟悉静态存储器的操作过程,验证存储器的读写方法。 二、实验原理 表芯片控制信号逻辑功能表

2. 存储器实验单元电路 芯片状态 控制信号状态 DO-D7 数据状态 M-R M -W 保持 1 1 高阻抗 读出 0 1 6116-^总钱 写人 1 0 总线-*6116 无效 报警 ^2-10 D7—DO A7—A0

團2-8存储器实验电路逻辑图 三、实验过程 1. 连线 1) 连接实验一(输入、输出实验)的全部连线。 2) 按逻辑原理图连接M-W M-R 两根信号低电平有效信号线 3) 连接A7-A0 8根地址线。 4) 连接B-AR 正脉冲有效信号 2. 顺序写入存储器单元实验操作过程 1) 把有B-AR 控制开关全部拨到0,把有其他开关全部拨到1,使全部信号都处 于无效 状态。 2) 在输入数据开关拨一个实验数据,如“ 00000001”即16进制的01耳 把IO-R 控制开关拨下,把地址数据送到总线。 3) 拨动一下B-AR 开关,即实现“1-0-1 ”产生一个正脉冲,把地址数据送地 址寄存器保存。 4) 在输入数据开关拨一个实验数据,如“ 10000000',即16进制的80耳 把IO-R 控 制开关拨下,把实验数据送到总线。 3. 存储器实验电路 0 O O 0 0 olo O O O O 0 00 OUTPUT L/O :W 8-AR £ ■」2 ■七 ol^Fgr' L P O 74LS273 A7- AO vz 0 o|o 0 r 6116 A7 INPUT D7-O0 [olololololololol T2

计算机组成原理习题课

.什么是指令周期?什么是机器周期?什么是时钟周期?三者之间的关系如何? 指令周期是完成一条指令所需的时间。包括取指令、分析指令和执行指令所需的全部时间。机器周期也称为周期,是指被确定为指令执行过程中的归一化基准时间,通常等于取指时间(或访存时间)。时钟周期是时钟频率的倒数,也可称为节拍脉冲或周期,是处理操作的最基本单位。一个指令周期由若干个机器周期组成,每个机器周期又由若干个时钟周期组成。 .描述外设进行操作的过程及方式的主要优点。 ()外设发出请求 ()响应请求,控制器从接管总线的控制 ()由控制器执行数据传送操作 ()向报告操作结束 ()主要优点是数据传送速度快 图中为寻址特征位,且时,不变址;时,用变址寄存器进行变址;时,用变址寄存器进行变址;时,相对寻址。设(),(),(),请确定下列指令的有效地址(均用十六进制表示,表示十六进制) () () () () () 答:()()()()() .浮点数格式如下:位阶符,位阶码,位数符,位尾数,请写出浮点数所能表示的范围(只考虑正数值)。 最小值× 最大值× .现有一×位的存储器芯片,欲设计具有同样存储容量的芯片,应如何安排地址线和数据线引脚的数目,使两者之和最小。并说明有几种解答。 设地址线根,数据线根,则 ·× 若 因此,当数据线为或时,引脚之和为。共有种解答 .异步通信方式传送码,数据位位,奇校验位,停止位位。计算当波特率为时,字符传送的速率是多少?每个数据位的时间长度是多少?数据位的传送速率是多少? 每个字符格式包含十个位,因此字符传送速率 波特字符秒 每个数据位时间长度

数据位传送速率×位秒 .试说明总线结构对计算机系统性能的影响。 ()最大存储容量 单总线系统中,最大内存容量必须小于由计算机字长所决定的可能的地址总线。 双总线系统中,存储容量不会受到外围设备数量的影响 ()指令系统 双总线系统,必须有专门的指令系统 单总线系统,访问内存和使用相同指令 ()吞吐量 总线数量越多,吞吐能力越大 结构如图所示,其中一个累加寄存器,一个状态条件寄存器和其它四个寄存器,各部分之间的连线表示数据通路,箭头表示信息传送方向。 () 标明图中四个寄存器的名称。 () 简述指令从主存取到控制器的数据通路。 () 数据在运算器和主存之间进行存取访问的数据通路。 图 答:()为数据缓冲寄存器,为指令寄存器,为主存地址寄存器, 为程序计数器 ()主存→缓冲寄存器→指令寄存器→操作控制器 ()存储器读:→→→存储器写:→→ .指令格式如下所示,其中为操作码,试分析指令格式特点:

计算机组成原理实验实验报告

计算机组成原理实验报告 学院信息与管理科学学院 专业班级计算机科学与技术2010级2班学生姓名毛世均 1010101046 指导教师郭玉峰 撰写日期:二○一二年六月四日

SA4=1 1.根据上边的逻辑表达式,分析58页图6-2的P1测试和P4测试两条指令的微地址转移方向。 P1测试:进行P1测试时,P1为0,其他的都为1, 因此SA4=1, SA3=I7,SA2=I6,SA1=,SA0=I4 微地址011001,下址字段为001000下址字段001000译码后,高两位不变,仍然为00,低四位受到机器指令的高四位I7-I4的影响。 机器指令的高四位为0000时,下一条微指令地址为001000,转到IN 操作。机器指令高四位0010时,下一条微指令地址为001010,转到MOV 操作。机器指令高四位为0001时,下一条微指令地址为001001,转到ADD 操作。机器指令高四位为0011时,下一条微指令地址为001011,转到OUT 操作。机器指令高四位为0100时,下一条微指令地址001100,转到JMP 操作 P4测试:进行P4测试时,P4为0,其他的都为1. 因此SA4=SA3=SA2=1,SA1=CA2,SA0=CA1 微地址000000,下址字段为010000. 010000被译码之后,高四位不变,0100低两位由CA2和CA1控制。CA2和CA1的值是由单片机的键盘填入控制的。 当实验选择CtL2=1时,CA2和CA1被填入0和1,这时低两位被译码电路翻译成01,所以下一条微地址就是010001,然后进入写机器指令的状态。当实验选择CtL2=2时,CA2和CA1被填入1和0,这时低两位被译码电路翻译成10,所以下一条微地址就是010010,然后进入读机器指令的状态。当实验选择CtL2=2时,CA2和CA1被填入1和1,这时低两位被译码电路翻译成 11,所以下一条微地址就是010011,然后进入运行机器指令的状态。 2.分析实验六中五条机器指令的执行过程。

计算机组成原理实验报告5- PC实验

2.5 PC实验 姓名:孙坚学号:134173733 班级:13计算机日期:2015.5.15 一.实验要求:利用CPTH 实验仪上的K16..K23 开关做为DBUS 的数据,其它开关做为控制信号,实现程序计数器PC的写入及加1 功能。 二.实验目的:1、了解模型机中程序计数器PC的工作原理及其控制方法。2、了解程序执行过程中顺序和跳转指令的实现方法。 三.实验电路:PC 是由两片74HC161构成的八位带预置记数器,预置数据来自数据总线。记数器的输出通过74HC245(PCOE)送到地址总线。PC 值还可以通过74HC245(PCOE_D)送回数据总线。 PC 原理图 在CPTH 中,PC+1 由PCOE 取反产生。 当RST = 0 时,PC 记数器被清0 当LDPC = 0 时,在CK的上升沿,预置数据被打入PC记数器 当PC+1 = 1 时,在CK的上升沿,PC记数器加一 当PCOE = 0 时,PC值送地址总线

PC打入控制原理图 PC 打入控制电路由一片74HC151 八选一构成(isp1016实现)。 当ELP=1 时,LDPC=1,不允许PC被预置 当ELP=0 时,LDPC 由IR3,IR2,Cy,Z确定 当IR3 IR2 = 1 X 时,LDPC=0,PC 被预置 当IR3 IR2 = 0 0 时,LDPC=非Cy,当Cy=1时,PC 被预置 当IR3 IR2 = 0 1 时,LDPC=非Z,当Z=1 时,PC 被预置 连接线表 四.实验数据及步骤: 实验1:PC 加一实验

置控制信号为: 按一次STEP脉冲键,CK产生一个上升沿,数据PC 被加一。 实验2:PC 打入实验 二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据12H 置控制信号为: 每置控制信号后,按一下STEP键,观察PC的变化。 五.心得体会: 经过上一个实验的练习,在做这个实验的时候更加得心应手,了解了模型机中程序计数器PC的工作原理及其控制方法,还有了解了程序执行过程中顺序和跳转指令的实现方法。

计算机组成原理实验1-运算器

《计算机组成原理》 实验报告 实验一运算器实验

一、实验目的 1.掌握运算器的组成及工作原理; 2.了解4位函数发生器74LS181的组合功能,熟悉运算器执行算术操 作和逻辑操作的具体实现过程; 3.验证带进位控制的74LS181的功能。 二、实验环境 EL-JY-II型计算机组成原理实验系统一套,排线若干。 三、实验内容与实验过程及分析(写出详细的实验步骤,并分析实验结果) 实验步骤:开关控制操作方式实验 1、按图1-7接线图接线: 连线时应注意:为了使连线统一,对于横排座,应使排线插头上的箭头面向自己插在横排座上;对于竖排座,应使排线插头上的箭头面向左边插在竖排座上。 图1-1 实验一开关实验接线图 2、通过数据输入电路的拨开关开关向两个数据暂存器中置数: 1)拨动清零开关CLR,使其指示灯。再拨动CLR,使其指示灯亮。置ALU-G =1:关闭ALU的三态门;再置C-G=0:打开数据输入电路的三态门; 2)向数据暂存器LT1(U3、U4)中置数:

(1)设置数据输入电路的数据开关“D15……D0”为要输入的数值; (2)置LDR1=1:使数据暂存器LT1(U3、U4)的控制信号有效,置LDR2=0:使数据暂存器LT2(U5、U6)的控制信号无效; (3)按一下脉冲源及时序电路的【单脉冲】按钮,给暂存器LT1送时钟,上升沿有效,把数据存在LT1中。 3)向数据暂存器LT2(U5、U6)中置数: (1)设置数据输入电路的数据开关“D15……D0”为想要输入的数值; (2)置LDR1=0:数据暂存器LT1的控制信号无效;置LDR2=1:使数据暂存器LT2的控制信号有效。 (3)按一下脉冲源及时序电路的“单脉冲”按钮,给暂存器LT2送时钟,上升沿有效,把数据存在LT2中。 (4)置LDR1=0、LDR2=0,使数据暂存器LT1、LT2的控制信号无效。 4)检验两个数据暂存器LT1和LT2中的数据是否正确: (1)置C-G=1,关闭数据输入电路的三态门,然后再置ALU-G=0,打开ALU 的三态门; (2)置“S3S2S1S0M”为“F1”,数据总线显示灯显示数据暂存器LT1中的数,表示往暂存器LT1置数正确; (3)置“S3S2S1S0M”为“15”,数据总线显示灯显示数据暂存器LT2中的数,表示往暂存器LT2置数正确。 3、验证74LS181的算术和逻辑功能: 按实验步骤2往两个暂存器LT1和LT2分别存十六进制数“1234H”和“5678H”,在给定LT1=1234H、LT2=5678H的情况下,通过改变“S3S2S1S0MCn”的值来改变运算器的功能设置,通过数据总线指示灯显示来读出运算器的输出值F,填入上表中,参考表1-1的功能表,分析输出F值是否正确。分别将“AR”开关拨至“1”和“0”的状态,观察进位指示灯“CY”的变化并分析原因。 实验结果表为:

计算机组成原理实验1.

计算机组成原理实验1 运算器(脱机)实验 通过开关、按键控制教学机的运算器执行指定的运算功能,并通过指示灯观察运算结果。实验原理: 为了控制Am2901运算器能够按照我们的意图完成预期的操作功能,就必须向其提供相应的控制信号和数据。 控制信号包括 1、选择送入ALU的两路操作数据R和S的组合关系(实际来源)。 2、选择ALU的八种运算功能中我们所要求的一种。这可通过提供三位功能选择码I5、 I4、I3实现。 3、选择运算结果或有关数据以什么方式送往何处的处理方案,这主要通过通用寄存器 组合和Q寄存器执不执行接收操作或位移操作,以及向芯片输出信息Y提供的是 什么内容。这是通过I8、I7、I6三位结果选择码来控制三组选择门电路实现的。 外部数据包括 1、通过D接收外部送来的数据 2、应正确给出芯片的最低位进位输入信号C n 3、关于左右移位操作过程中的RAM3、RAM0、Q3和Q0的处理。 4、当执行通用寄存器组的读操作时,由外部送入的A地址选中的通用寄存器的内容送 往A端口,由B地址选中的通用寄存器的内容送往B端口,B地址还用作通用寄 存器的写汝控制。 对于芯片的具体线路,需说明如下几点: 1、芯片结果输出信号的有无还受一个/OE(片选)信号的控制。 2、标志位F=0000为集电极开路输出,容易实现“线与”逻辑,此管脚需经过一个电阻 接到+5V。 3、RAM3、RAM0、Q3和Q0均为双向三态逻辑,一定要与外部电路正确连接。 4、通用寄存器组通过A端口、B端口读出内容的输出处均有锁存器线路支持。 5、该芯片还有两个用于芯片间完成高速进位的输出信号/G和/P。 6、Am2901芯片要用一个CLK(CP)时钟信号作为芯片内通用寄存器、锁存器和Q寄 存器的打入信号。 实验步骤如下: (1)选择运算器要完成的一项运算功能,包括数据来源,运算功能,结果保存等;(2)需要时,通过数据开关向运算器提供原始数据; (3)通过24位的微型开关向运算器提供为完成指定运算功能所需要的控制信号; (4)通过查看指示灯或用电表量测,观察运算器的运行结果(包括计算结果和特征标志)。实验准备 12为微型开关的具体控制功能分配如下: A口和B口地址:送给Am2901器件用于选择源与目的操作数的寄存器编号; I8~I0:选择操作数来源、运算操作功能、选择操作数处理结果和运算器输出内容的3组3位控制码; Sci,SSH和SST:用于确定运算器最低位的进位输入、移位信号的入/出和怎样处理Am2901产生的状态标志位的结果。

相关文档
相关文档 最新文档