文档库 最新最全的文档下载
当前位置:文档库 › 内蒙古大学 eda 期末考试

内蒙古大学 eda 期末考试

内蒙古大学 eda 期末考试
内蒙古大学 eda 期末考试

课程成绩登记单

20~20学年第学期

开课单位:学生所在学院:

课程编号学分/总学时/

课程名称课程类别□公共课□专业课专业/年级专业年级修读方式□必修课□选修课任课教师是否主干基础课考试方式□闭卷□开卷期中考试日期:地点:监考人员:

期末考试日期:地点:监考人员:

编号

选课学生信息学生成绩主考教师

签名学号姓名平时成绩期中考试期末考试总评

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

此页共有学生名(选课学生共名)第页(共页)

编号

选课学生信息学生成绩主考教师

签名学号姓名平时成绩期中考试期末考试总评

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

47

48

49

50

51

52

53

54

55

56

57

58

59

60

61

62

63

64

65

66

67

68

69

70

此页共有学生名(选课学生共名)第页(共页)

工程结构检测期末考试试卷——2016

一、填空25分 1结构静载试验要量测的数据包括结构的外界作用:荷载、支座反力,和在外界作用下的反应位移、应变、裂缝 2电测式量测装置由传感器、放大量测系统和指示记录三部分组成。3 3结构静载试验加载程序分预加载、正常使用荷载(标准荷载)、破坏荷载三个阶段3 4测定结构的动力特性参数包括结构或构件的自振频率、阻尼比、阵型等结构模态参数3 5声速、波幅、主频都是反映桩身质量的声学参数测量值3 6现场密度检测方法有灌砂法、环刀法、核子法、钻芯法等3 7不同测定方法其评价平整度的指标也有所不同,其中3米直尺法用最大间隙,连续式平整度仪用标准差表示,颠箕累积仪用单向累计值VBI表示3 8平整度测试方法及其技术指标(选择/判断) 1)3m直尺法——最大间隙h(mm) 2)连续式平整度仪法——标准差δ(mm) 3)颠箕累计仪——单向累计值VBI(cm/hm) 9主要桥型内力或唯一控制截面(选择/判断) 简支梁桥:跨中截面最大正弯矩和挠度,支点截面剪力 10混凝土桩基(灌注桩)完整性动力检测技术方法有哪些低应变法、声波透射法、钻芯法二、判断题15分 1表征路面整体强度高低的办法有两种 1)一定荷载下的变形即弯沉 2)一定变形下的荷载CBR 2常用的量测仪器(判断给一个仪器判断是机械式的还是电/光测式的?) 1)机械式:百分表、千分表——测位移 2)电/光测式:力传感器——测力 3电阻应变片的参数对测试结果的影响(判断/简答) 1)标距——应变片的电阻线栅沿纵向的长度 应变片标距过小,横向系数增大,影响测试结果的精度。 对于一些由不均匀材料如混凝土制成的大型构件,常使用大标距的应变片,以避免材料内部应力分布不均匀的影响 2)基宽——线栅的横向宽度 基宽小的应变片,横向灵敏度小 基宽过小,散热条件差 4两类传感器的用途(判断) 1)测力传感器:测量集中拉力和压力 2)位移传感器:测量结构的静态位移 5整体变形测量的测点布置(判断)知道就行,不用记忆 1)任何构件的挠度和侧向位移,指的是构件截面中轴线上的变形。试验时挠度测点位置必须对准中轴线或在中轴线两侧对称位置上布置测点 2)构件跨中最大挠度,指的是扣除试验时产生的支座沉降后的跨中挠度,因而梁式构件的

EDA期末考试考卷及答案

(A卷) 赣南师范学院 2010—2011学年第一学期期末考试试卷(A卷)(闭卷)年级 2008 专业电子科学与技术(本)课程名称 EDA技术基础 2、学生答题前将密封线外的内容填写清楚,答题不得超出密封线; 3、答题请用蓝、黑钢笔或圆珠笔。 一、单项选择题(30分,每题2分) 1.以下关于适配描述错误的是 B A.适配器的功能是将综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件 B.适配所选定的目标器件可以不属于原综合器指定的目标器件系列 C.适配完成后可以利用适配所产生的仿真文件作精确的时序仿真 D.通常,EDAL软件中的综合器可由专业的第三方EDA公司提供,而适配器则需由FPGA/CPLD供应商提供 2.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述 D 。 A.器件外部特性B.器件的综合约束 C.器件外部特性与内部功能D.器件的内部功能 3.下列标识符中, B 是不合法的标识符。 A.State0 B.9moon C.Not_Ack_0 D.signall 4.以下工具中属于FPGA/CPLD集成化开发工具的是 D A.ModelSim B.Synplify Pro C.MATLAB D.QuartusII 5.进程中的变量赋值语句,其变量更新是 A 。 A.立即完成B.按顺序完成 C.在进程的最后完成D.都不对 6.以下关于CASE语句描述中错误的是 A A.CASE语句执行中可以不必选中所列条件名的一条 B.除非所有条件句的选择值能完整覆盖CASE语句中表达式的取值,否则最末一个条件句的选择必须加上最后一句“WHEN OTHERS=><顺序语句>” C.CASE语句中的选择值只能出现一次 D.WHEN条件句中的选择值或标识符所代表的值必须在表达式的取值范围 7.以下哪个程序包是数字系统设计中最重要最常用的程序包 B A.STD_LOGIC_ARITH B.STD_LOGIC_1164 C.STD_LOGIC_UNSIGNED D.STD_LOGIC_SIGNED 8.基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入→A →综合→适配→时序仿真→编程下载→硬件测试。 A.功能仿真B.逻辑综合C.配置D.引脚锁定 9.不完整的IF语句,其综合结果可实现 D A.三态控制电路B.条件相或的逻辑电路 C.双向控制电路D.时序逻辑电路 10.下列语句中,属于并行语句的是A A.进程语句B.IF语句C.CASE语句D.FOR语句11.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中, C 是错误的。 A.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件 B.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的 C.综合是纯软件的转换过程,与器件硬件结构无关 D.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束 12.CPLD的可编程是主要基于什么结构 D 。 A.查找表(LUT)B.ROM可编程 C.PAL可编程D.与或阵列可编程 13.以下器件中属于Altera 公司生产的是 B A.ispLSI系列器件B.MAX系列器件 C.XC9500系列器件D.Virtex系列器件 14.在VHDL语言中,下列对时钟边沿检测描述中,错误的是 D A.if clk'event and clk = '1' then B.if clk'stable and not clk = '1' then C.if rising_edge(clk) then D.if not clk'stable and clk = '1' then 15.以下关于状态机的描述中正确的是 B A.Moore型状态机其输出是当前状态和所有输入的函数 B.与Moore型状态机相比,Mealy型的输出变化要领先一个时钟周期C.Mealy型状态机其输出是当前状态的函数

(完整版)武科大EDA试卷及答案

武科大EDA系统设计试卷及答案 一、单项选择题:(20分) 1.IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为_____ D_____。 A .瘦IP B.固IP C.胖IP D.都不是 2.综合是EDA设计流程的关键步骤,在下面对综合的描述中,____ D _____是错误的。 A.综合就是把抽象设计层次中的一种表示转化成另一种表示的过程; B.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件; C.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束; D.综合可理解为一种映射过程,并且这种映射关系是唯一的,即综合结果是唯一的。 3.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是__C__。 A. FPGA全称为复杂可编程逻辑器件; B. FPGA是基于乘积项结构的可编程逻辑器件; C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置; D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。 4.进程中的信号赋值语句,其信号更新是___C____。 A.按顺序完成; B.比变量更快完成; C.在进程的最后完成; D.都不对。 5. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述_____B______。 A.器件外部特性; B.器件的内部功能; C.器件的综合约束; D.器件外部特性与内部功能。 6.不完整的IF语句,其综合结果可实现____ A ____。 A. 时序逻辑电路 B. 组合逻辑电路 C. 双向电路 D. 三态控制电路 7.子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);指出下列哪些方法是面积优化_____ B____。 ①流水线设计②资源共享③逻辑优化④串行化⑤寄存器配平⑥关键路径法 A. ①③ ⑤ B. ②③④ C. ②⑤ ⑥ D. ①④⑥ 8.下列标识符中,_____B_____是不合法的标识符。 A. State0 B. 9moon C. Not_Ack_0 D.

最新大学思修期末考试题(含答案)

大学期末思修考试 2019最新大学思想道德修养与法律基础试题[含答案] 一、选择题 1.“只有在集体中,个人才能获得全面发展其才能的手段,也就是说,只有在集体中才可能有个人自由。”这说明() A、没有集体利益,就不可能有个人利益 B、集体主义坚决排斥个人利益和个性自由 C、广大人民只有靠集体奋斗才能实现自身的正当利益 D、只有集体的事业兴旺发达,才能保障个人的正当利益充分实现 2.法具有维护有利于统治阶级的社会关系和社会秩序的作用。这指的是法的() A、社会作用 B、规范作用 C、指引作用 D、制裁作用 3.理想作为一种精神现象,是()B A.人与生俱来的 B.是社会实践的产物 C.是人们成年后的必然产物 D.是人类进化到今天的结果 4.道德是一种行为规范,它所包含和要解决的主要矛盾是()D A.善与恶、正义与非正义 B.公正和偏私、诚实和虚伪 C.经济基础和上层建筑 D.个人利益和整体利益 5.“知之为知之,不知为不知,是知也。”这句话告诉我们,在学习上一定要培养 (A)的优良学风。 A求实B一丝不苟C勤奋D敢为人先 6.下列著作不能说明作者身处逆境而有作为的有(D)。 A《周易》B《离骚》C《史记》D《论语》 二,多项选择题 7.信念与信仰的关系是(ABCD)。 A信仰是一个人做什么和不做什么的根本标准和态度 B信念是非要去做、去执行的坚决态度C信仰属于信念 D信仰是信念的最高表现形式E信念属于信仰 8.设立有限责任公司依法应具备的条件包括( ABC )。

A 有公司名称 B 符合法定人数的股东 C 有固定的生产经营场所 D 事先经由主管行政部门审批 9.依照法律服兵役和参加民兵组织是中华人民共和国公民的光荣义务。规定这一义务的法有() A《宪法》 B《国防法》 C《兵役法》 D《反分裂国家法》 10.不同的人由于社会环境、思想观念、利益需要、人生经历和性格特征等方面的差异,会形成不同的乃至截然相反的信念。即使是同一个人,也会形成关于社会生活不同方面的信念,如在政治、经济、文化以及事业、学业和生活等方面,都会形成相应的不同层次的信念。这体现信念的(C)。 A.阶级性 B.稳定性 C.多样性 D.科学性 11.我国传统法文化中的消极因素有( CD )。 A 重视调解在解决一般纠纷中的作用 B 注重成文法 C 法即是刑 D 轻视诉讼和权利观念淡薄 12.检察院认为被告人犯罪情节轻微,依法免除刑罚的,应当制作( D )。 A 免予起诉决定书 B 免予起诉意见书 C 不起诉书 D 不起诉决定书 二、填空题 13.我国的人民民主专政实质上是 ___________________________ 。 三、单选题 14.在当代中国,爱国主义首先体现在对()的热爱上,这是中华人民共和国每一个公民必须坚持的立场和态度。(标准答案:D) A. 自己家庭 B. 自己的事业 C. 世界的发展 D. 社会主义中国

EDA期末试卷及答案(2020年九月整理).doc

EDA期末试卷 一、填空题 1.一般把EDA技术的发展分为MOS时代、CMOS 代和ASIC 三个阶段。 2.EDA设计流程包括设计输入、设计实现、实际设计检验和下载编程四个步骤。 3.EDA设计输入主要包括图形输入、HDL文本输入和状态机输入。 4.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为功能仿真。5.VHDL的数据对象包括变量、常量和信号,它们是用来存放各种类型数据的容器。 6.图形文件设计结束后一定要通过仿真,检查设计文件是否正确。 7.以EDA方式设计实现的电路设计文件,最终可以编程下载 到FPGA 和CPLD 芯片中,完成硬件设计和验证。 8.MAX+PLUS的文本文件类型是(后缀名).VHD 。9.在PC上利用VHDL进行项目设计,不允许在根目 录下进行,必须在根目录为设计建立一个工程目录(即文件夹)。

10.VHDL源程序的文件名应与实体名相同,否则无法通过编译。 二、选择题:。 11.在EDA工具中,能完成在目标系统器件上布局布线软件称为(C )A.仿真器 B.综合 器 C.适配器 D.下载器12.在执行MAX+PLUSⅡ的(D )命令,可以精确分析设计电路输入与输出波形间的延时量。 A .Create default symbol B. Simulator C. Compiler D. Timing Analyzer 13.VHDL常用的库是(A ) A. IEEE B.STD C. WORK D. PACKAGE 14.下面既是并行语句又是串行语句的是( C ) A.变量赋值 B.信号赋值 C.PROCESS语 句 D.WHEN…ELSE语句 15.在VHDL中,用语句(D )表示clock的下降沿。

内蒙古大学物理化学期末答案

一、计算(13小题,共100分) 1、写出下列浓差电池的电池反应,计算在 298 K 时的电动势: Zn(s)│Zn 2+(a =0.004)‖Zn 2+(a =0.02)│Zn(s) Pb(s)│PbSO 4(s)│SO 42 -(a =0.02)‖SO 42-(a =0.001)│PbSO 4(s)│Pb(s) 答案: (1) 电池反应 Zn 2+(a =0.02) ─→Zn 2+(a =0.004) E = -RT /2F ×ln(0.004/0.02) = 0.0207 V (2) 电池反应 SO 42 -(a =0.01) ─→ SO 42-(a =0.001) E = -RT /2 F ×ln(0.001/0.01) = 0.0296 V 2、298 K 时,下列电池的电动势为 E /V =0.160235+1.0023×10-3T /K -2.541×10-6(T /K)2 Ag(s)+AgCl(s)│HCl(1.0 mol ·kg -1, γ±=0.809)│H 2(p ?)│Pt 求 φ? (AgCl,Ag,Cl -)的值。 答案:解: 电池反应 Ag(s)+HCl(1.0 mol ·kg -1)─→AgCl +12 H 2(p ?) E = 0.2333 V E =E ?-RT / F ×ln[1/(a +a -)] (a +a -=1×γ±2) E ?=φ? (AgCl,Ag,Cl -)=0.2224 V 3、在 p ?压力、18℃下,白锡与灰锡处于平衡。 从白锡到灰锡的相变热为-2.01 kJ ·mol -1,请计算以下电池在 0℃和 25℃时的电动势。 Sn(s,白)│SnCl 2(aq)│Sn(s,灰) 答案:电池反应: Sn(s,白) ─→ Sn(s,灰) 291 K 达平衡 ?G = ?G ?= 0 ?S ?= (?H ?- ?G ?)/T = -6.91 J ·K -1·mol -1 在 273 – 298 K 间视 ?H 为常数 273 K :?G ?= ?H ?- T ?S ?= -124 J ·mol -1 E ?= -?G ?/z F = 0.00064 V 298 K :?G ?= 49 J ·mol -1 E ?= -0.00025 V 4、一个原电池是由固态铝电极和固态的 Al- Zn 合金电极以及熔融的 AlCl 3- NaCl 混合物作电解质形成,当铝在合金电极中的物质的量分数是 0.38,电池电动势在653 K 时为 7.43 mV 试计算 Al(s) 在 Al - Zn(s) 合金中的活度。 答案:电池: Ag(s)│AlCl 3(在NaCl 中)│Al(s)(在Zn 中,x (Al)=0.38) 电池反应: Al(s) →Al(s) [x (Al)=0.38] E = -RT /3F × ln a (合金)/a (Al) a (Al) = 0.673 5、在 298 K 时有下述电池: Pb(s)│Pb 2+(a =0.1)‖Ag +(a =0.1)│Ag(s) (a) 写出电极反应并计算其电极电势 (b) 计算电池的电动势和电池反应的 ?r G m

EDA技术期末试卷(含答案)

班级 学号 姓名 密 封 线 内 不 得 答 题 一、单项选择题(30分) 1.以下描述错误的是 C A .QuartusII 是Altera 提供的FPGA/CPLD 集成开发环境 B .Altera 是世界上最大的可编程逻辑器件供应商之一 C .MAX+plusII 是Altera 前一代FPGA/CPL D 集成开发环境QuartusII 的更新换代新产品 D .QuartusII 完全支持VHDL 、Verilog 的设计流程 2.以下工具中属于FPGA/CPLD 开发工具中的专用综合器的是 B A .ModelSim B .Leonardo Spectrum C .Active HDL D .QuartusII 3.以下器件中属于Xilinx 公司生产的是 C A .ispLSI 系列器件 B .MAX 系列器件 C .XC9500系列器件 D .FLEX 系列器件 4.以下关于信号和变量的描述中错误的是 B A .信号是描述硬件系统的基本数据对象,它的性质类似于连接线 B .信号的定义范围是结构体、进程 C .除了没有方向说明以外,信号与实体的端口概念是一致的 D .在进程中不能将变量列入敏感信号列表中 5.以下关于状态机的描述中正确的是 B A .Moore 型状态机其输出是当前状态和所有输入的函数 B .与Moore 型状态机相比,Mealy 型的输出变化要领先一个时钟周期 C .Mealy 型状态机其输出是当前状态的函数 D .以上都不对 6.下列标识符中, B 是不合法的标识符。 A .PP0 B .END C .Not_Ack D .sig 7.大规模可编程器件主要有FPGA 、CPLD 两类,下列对CPLD 结构与工作原理的描述中,正确的是 C 。 A .CPLD 即是现场可编程逻辑器件的英文简称 B .CPLD 是基于查找表结构的可编程逻辑器件 C .早期的CPL D 是从GAL 的结构扩展而来 D .在Altera 公司生产的器件中,FLEX10K 系列属CPLD 结构 8.综合是EDA 设计流程的关键步骤,在下面对综合的描述中, D 是错误的。 A .综合就是把抽象设计层次中的一种表示转化成另一种表示的过程 B .综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD 的基本结构相映射的网表文件 C .为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束 D .综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的) 9.嵌套使用IF 语句,其综合结果可实现 A 。 A .带优先级且条件相与的逻辑电路 B .条件相或的逻辑电路 C .三态控制电路 D .双向控制电路 10.在VHDL 语言中,下列对时钟边沿检测描述中,错误的是 D 。 A .if clk'event and clk = ‘1’ then B .if falling_edge(clk) then C .if clk’event and clk = ‘0’ then D .if clk’stable and not clk = ‘1’ then 11.下列那个流程是正确的基于EDA 软件的FPGA / CPLD 设计流程 B A .原理图/HDL 文本输入→适配→综合→功能仿真→编程下载→硬件测试 B .原理图/HDL 文本输入→功能仿真→综合→适配→编程下载→硬件测试 C .原理图/HDL 文本输入→功能仿真→综合→编程下载→→适配硬件测试; D .原理图/HDL 文本输入→功能仿真→适配→编程下载→综合→硬件测试 12.在VHDL 语言中,下列对进程(PROCESS )语句的语句结构及语法规则的描述中,正确的是 A 。 A .PROCESS 为一无限循环语句;敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动 B .敏感信号参数表中,应列出进程中使用的所有输入信号 C .进程由说明部分、结构体部分、和敏感信号参数表三部分组成 D .当前进程中声明的变量也可用于其他进程 13.下列语句中,不属于并行语句的是 B A .进程语句 B .CAS E 语句 C .元件例化语句 D .WHEN …ELSE …语句 14.VHDL 语言共支持四种常用库,其中哪种库是用户的VHDL 设计现行工作 库 D A .IEEE 库 B .VITAL 库 C .STD 库 D .WORK 库 15.VHDL 语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述 D 。 A .器件外部特性 B .器件的综合约束 C .器件外部特性与内部功能 D .器件的内部功能 二、EDA 名词解释,写出下列缩写的中文含义(10分) 1.CPLD :复杂可编程逻辑器件 2.ASIC :专用集成电路 3.LUT :查找表 4.EDA :电子设计自动化 5.ROM :只读存储器 三、程序填空题(20分) 以下是一个模为24(0~23)的8421BCD 码加法计数器VHDL 描述,请补充完整

《EDA》试题B答案

2007 至2008学年度第二学期期末考核 《EDA》试题(开卷) 卷号:B 时间:120 分钟 2008 年6 月 专业:电子信息工程学号:姓名: 一填空题(20分) 1、VHDL 2、DEVICE.LIB SYMBOLS.LIB 3、实际零件焊接到电路板时所指示的外观和焊点的位置 4、电子设计自动化电子CAD技术 5、A L T E R A,X I L I N X 6、WAIT 7、电路连接 8、SRAM-BASE 9、2.54mm 300mil 10、元件外观和元件引线端子的图形 二名词解释(20分) 1 PLD/FPGA PLD是可编程逻辑器件(Programable Logic Device)的简称,FPGA是现场可编程门阵列(Field Programable Gate Array)的简称,两者的功能基本相同,只是实现原理略有不同,所以我们有时可以忽略这两者的区别,统称为可编程逻辑器件或PLD/FPGA。 2.过孔 当需要连接两个层面上的铜膜走线时就需要过孔(Via),过孔 又称为贯孔、沉铜孔和金属化孔。 过孔分为穿透式(Through)、半隐藏式(Blind)和隐藏式(Buried) 3.铜膜线 就是连接两个焊盘的导线,称为Track,一般铜膜线走线在不 同层面取不同的走向,例如顶层走水平线,则底层走垂直线。顶 层和底层走线之间的连接采用过孔(Via)连接。 4 PROM、PAL和PLA PROM:与阵列固定,或阵列可编程,一般用作存储器,其输入为存储器的地址,输出为存储器单元的内容。但输入的数目太大时,器件功耗增加,其局限性大。 PLA:与或阵列均可编程,但是其慢速特性和相对PAL、PROM而高得多的价格妨碍了它被广泛使用。PAL:或阵列固定,与阵列可编程,其第二代产品GAL具有了可电擦写、可重复编程、可设置加密的功能。 5 自顶向下的/自下而上的设计方法 自下而上的设计方法,使用该方法进行硬件设计是从选择具体元器件开始,并用这些元器件进行逻辑电路设计,从而完成系统的硬件设计,然后再将各功能模块连接起来,完成整个系统的硬件设计,自顶向下的设计方法就是从系统的总体要求出发,自顶向下分三个层次对系统硬件进行设计。 第一个层次是行为描述第二个层次是数据流描述第三个层次为逻辑综合

(完整版)EDA期末考试题1

1.一个项目的输入输出端口是定义在( A )1-5 ACDCD 6-10 CCACA A. 实体中;. B. 结构体中; C. 任何位置; D. 进程中。 2. MAXPLUS2中编译VHDL源程序时要求( C ) A. 文件名和实体可以不同名; B. 文件名和实体名无关; C. 文件名和实体名要相同; D. 不确定。 3. VHDL语言中变量定义的位置是(D ) A. 实体中中任何位置; B. 实体中特定位置; C. 结构体中任何位置; D. 结构体中特定位置。 4.可以不必声明而直接引用的数据类型是(C ) A. STD_LOGIC ; B. STD_LOGIC_VECTOR; C. BIT; D. ARRAY。 5. MAXPLUS2不支持的输入方式是(D ) A 文本输入;.B. 原理图输入;C. 波形输入;D. 矢量输入。 6.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是( C ) A. FPGA全称为复杂可编程逻辑器件; B. FPGA是基于乘积项结构的可编程逻辑器件; C. 基于SRAM的FPGA器件,在每次上电后必须进行一次配置; D. 在Altera公司生产的器件中,MAX7000系列属FPGA结构。 7.下面不属于顺序语句的是( C ) A. IF语句; B. LOOP语句; C. PROCESS语句; D. CASE语句。 8. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,实体体描述的是( A ) A. 器件外部特性; B. 器件的内部功能; C. 器件的综合约束; D. 器件外部特性与内部功能。 9. 进程中的信号赋值语句,其信号更新是( C ) A. 按顺序完成; B. 比变量更快完成; C. 在进程的最后完成; D. 都不对。 10. 嵌套使用IF语句,其综合结果可实现:(A ) A. 带优先级且条件相与的逻辑电路; B. 条件相或的逻辑电路; C. 三态控制电路; D. 双向控制电路。 一、单项选择题:(20分) 1. IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述

EDA(FPGA)期末考试试题

这是长期总结的EDA期末考试试题 试题一 1-2与软件描述语言相比,VHDL有什么特点? P6 答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。 l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5 什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 有哪些类型? 答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。 (2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。 (3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。 (4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。 综合在电子设计自动化中的地位是什么? 答:是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。 1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P7~10 答:在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。 1-5 IP在EDA技术的应用和发展中的意义是什么? P11~12 答:IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。 2-1 叙述EDA的FPGA/CPLD设计流程。 P13~16 答:1.设计输入(原理图/HDL文本编辑);2.综合;3.适配;4.时序仿真与功能仿真;5.编程下载;6.硬件测试。 2-2 IP是什么?IP与EDA技术的关系是什么? P24~26 IP是什么? 答:IP是知识产权核或知识产权模块,用于ASIC或FPGA/CPLD中的预先设计好的电路功能模块。 IP与EDA技术的关系是什么? 答:IP在EDA技术开发中具有十分重要的地位;与EDA技术的关系分有软IP、固IP、硬IP:软IP是用VHDL等硬件描述语言描述的功能块,并不涉及用什么具体电路元件实现这些功能;软IP通常是以硬件描述语言HDL源文件的形式出现。固IP是完成了综合的功能块,具有较大的设计深度,以网表文件的形式提交客户使用。硬IP提供设计的最终阶段产品:掩模。 3-1 OLMC(输出逻辑宏单元)有何功能?说明GAL是怎样实现可编程组合电路与时序电路的。 P34~36 答:OLMC单元设有多种组态,可配置成专用组合输出、专用输入、组合输出双向口、寄存器输出、寄存器输出双向口等。 说明GAL是怎样实现可编程组合电路与时序电路的? 答:GAL(通用阵列逻辑器件)是通过对其中的OLMC(输出逻辑宏单元)的编程和三种模式配置(寄存器模式、复合模式、简单模式),实现组合电路与时序电路设计的。 3-2 什么是基于乘积项的可编程逻辑结构? P33~34,40 答:GAL、CPLD之类都是基于乘积项的可编程结构;即包含有可编程与阵列和固定的或阵列的PAL(可编程阵列逻辑)器件构成。 3-3 什么是基于查找表的可编程逻辑结构? P40~41 答:FPGA(现场可编程门阵列)是基于查找表的可编程逻辑结构。 3-7 请参阅相关资料,并回答问题:按本章给出的归类方式,将基于乘积项的可编程逻辑结构的PLD器件归类为CPLD;将基于查找表的可编程逻辑结构的PLD器什归类为FPGA,那么,APEX系列属于什么类型PLD器件? MAX II系列又属于什么类型的PLD器件?为什么? P54~56 答:APEX(Advanced Logic Element Matrix)系列属于FPGA类型PLD器件;编程信息存于SRAM中。MAX II系列属于CPLD类型的PLD器件;编程信息存于EEPROM中。 4-3. 图3-31所示的是双2选1多路选择器构成的电路MUXK,对于其中MUX21A,当s='0'和'1'时,分别有y<='a'和y<='b'。试在一个结构体中用两个进程来表达此电路,每个进程中用CASE语句描述一个2选1多路选择器MUX21A。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY MUX221 IS PORT(a1,a2,a3:IN STD_LOGIC_VECTOR(1 DOWNTO 0); --输入信号 s0,s1:IN STD_LOGIC; outy:OUT STD_LOGIC);--输出端 END ENTITY; ARCHITECTURE ONE OF MUX221 IS SIGNAL tmp : STD_LOGIC; BEGIN

内蒙古大学教务处关于推荐2017届优秀应届本科毕业生免试攻读硕士学位研究生计算平均学分绩点的补充规定

内蒙古大学教务处关于推荐2017届优秀 应届本科毕业生免试攻读硕士学位研究生计算平均学分绩点的补充规定 各学院(系): 根据《内蒙古大学推荐优秀应届本科毕业生免试攻读硕士学位研究生工作实施办法》(内大发〔2014〕51号),结合近几年我校推荐优秀应届本科毕业生免试攻读硕士研究生工作计算平均学分绩点的实际,经学校推免生遴选工作领导小组研究,决定对2017届推免生计算平均学分绩点做以下规定: 一、大学生创新创业训练项目 (一)国家级大学生创新创业训练项目主持人平均学分绩点加分最高不超过0.15,国家级大学生创新创业训练项目组成员平均学分绩点加分最高不超过0.10; (二)校级大学生创新创业训练项目主持人平均学分绩点加分最高不超过0.10,校级大学生创新创业训练项目组成员平均学

分绩点加分最高不超过0.05; (三)每人最多只能获得一个项目的加分,加分项目须通过中期检查。 (四)各学院推免生工作小组视项目组成员对项目研究的贡献大小予以加分认定。 二、学术论文 (一)在专业性核心期刊(或《人民日报》、《光明日报》、《经济日报》理论版)上发表论文第一作者平均学分绩点加分最高不超过0.15,第二作者平均学分绩点加分最高不超过0.10,第三作者平均学分绩点加分最高不超过0.05; (二)在省级学术期刊、具有硕士学位授予权的本科院校学报(或省部级报纸理论版)上发表论文第一作者平均学分绩点加分最高不超过0.10,第二作者平均学分绩点加分最高不超过0.05,第三作者平均学分绩点加分最高不超过0.01; (三)学生发表的学术论文须结合自己所学专业(含双学士学位专业)或国家级大学生创新创业训练项目、校级大学生创新创业训练项目,才予以加分认定; (四)每人认定加分的学术论文最多一篇,以正式发表为准。被EI检索的论文以学校图书馆出具的检索报告为准。在各种期刊的增刊发表的文章不予加分。 三、发明专利 第一发明人平均学分绩点加分最高不超过0.15,第二发明人平均学分绩点加分最高不超过0.10,第三发明人平均学分绩点加分最高不超过0.05(以专利证书为准)。 —2—

EDA期末试卷

《EDA》期末试卷 一、选择题(每小题3分,共30分) 1、在下图中,F0的逻辑关系为: A.A0⊕A1 B.A0⊙A1 C.A0·A1 D.A0·A1 2、在VHDL中,用语句()表示clock的下降沿。 A.clock='1' B.clock'EVENT AND clock='1' C.clock='0' D.clock'EVENT AND clock='0' 3、如果p1=’1’,p2=’1’,则执行z <= a WHEN p1 = '1' ELSE b WHEN p2 = '1' ELSE c ;之后z 的值为: A.a B.b C.c D.不确定 4、进程中的信号赋值语句,其信号更新是: A.按顺序完成 B.比变量更快完成 C.在进程最后完成 D.都不对 5、不完整的IF语句,其综合结果可实现: A.时序逻辑电路 B.组合逻辑电路 C.双向电路 D.三态控制电路 6、reg的数据类型为std_logic_vector(7 downto 0),初值为FF,执行reg(0)<=’0’;reg(7 downto 1)<=reg(6 downto 0);之后,reg的值为: A.FF B.FE C.FC D.FD 7、在VHDL中()不能将信息带出对它定义的当前进程。 A. 信号 B. 常量 C. 数据 D. 变量 8、执行下列语句后Q的值等于: …… SIGNAL E: STD_LOGIC_VECTOR (2 TO 5); SIGNAL Q: STD_LOGIC_VECTOR (9 DOWNTO 2); …… E<=(2=>’1’, 4=>’1’, OTHERS=>’0’); Q<=(2=>E (2), 4=>E (3), 5=>’1’, 7=>E (5), OTHERS=>E (4)); …… A.”11011011” B.”00110100” C.”11011001” D.”00101100” 9、在一个VHDL设计中Idata是一个信号,数据类型为std_logic_vector,试指出下面那个赋值语句是错误的。 A.idata <= “00001111”; B.idata <= b”0000_1111”; C.idata <= X”AB”; D.idata <= B”21”; 10、在一个VHDL设计中idata是一个信号,数据类型为integer,数据范围0 to 127,下面哪个赋值语句是正确的。

内蒙古大学材料科学导论期末复习计算

例题 : Cu 晶体的空位形成能Ev 为0.9ev/atom ,或 1.44×10-19 J/atom ,材料常数A 取作1,玻尔兹曼常数k =1.38×10 - 23 J/K ,计算:(已知Cu 的摩尔质量为MCu =63.54g/mol , 500℃下Cu 的密度ρCu =8.96 ×106 g/m3 ) 1)在500℃下,每立方米Cu 中的空位数目。 2) 500℃下的平衡空位浓度。 解:首先确定1m3体积内Cu 原子的总数: 236 28036.023108.96108.491063.54Cu Cu N N M m ρ???===? 1)将N 代入空位平衡浓度公式,计算空位数目nv 2)1928232813.5286233 1.4410exp 8.4910exp 1.3810773 8.49108.4910 1.37101.210 /V v E n N kT e m ------?==???=??=???=?2)计算空位浓度 19 13.56231.4410exp 1.4101.3810773v V n C e N -----?====??? 即在500℃时,每106个原子中才有1.4个空位

制作半导体元件时,常在Si表面沉积一薄层硼,然后加热使之扩散.测得1100℃时硼的扩散系数DB=4×10-7m2/s , 硼的薄膜质量M为:M=9.43×1019个原子. 求:扩散时间t=7×107S后表面(x=0)硼的浓度. 解:将已知条件代入 2 Mχ C=exp(-) 4Dt πDt C0 =0.1%C (纲件原始浓度),CS =1%(钢件渗碳后表层C%),渗碳温度为930℃=1.61×10-12m2/s 求:渗碳4小时以后在x=0.2mm处的碳浓度(C)值。 解:先求误差函数β= Dt 2 x = 14400 10 61.12 10 2 12 4 ? ? ? - - ∴β=0.657 查误差函数表可知:erf(β)=erf 0.657=0.647 个原子? ? ???? 19 19 -77 9.4310 C==110π410710

EDA期末考试试卷及答案

一、单项选择题(30分,每题2分) 1.以下关于适配描述错误的是 B A.适配器的功能是将综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件 B.适配所选定的目标器件可以不属于原综合器指定的目标器件系列 C.适配完成后可以利用适配所产生的仿真文件作精确的时序仿真D.通常,EDAL软件中的综合器可由专业的第三方EDA公司提供,而适配器则需由FPGA/CPLD供应商提供 2.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述 D 。 A.器件外部特性B.器件的综合约束 C.器件外部特性与内部功能D.器件的内部功能3.下列标识符中, B 是不合法的标识符。 A.State0 B.9moon C.Not_Ack_0 D.signall 4.以下工具中属于FPGA/CPLD集成化开发工具的是 D A.ModelSim B.Synplify Pro C.MA TLAB D.QuartusII 5.进程中的变量赋值语句,其变量更新是 A 。 A.立即完成B.按顺序完成 C.在进程的最后完成D.都不对 6.以下关于CASE语句描述中错误的是 A A.CASE语句执行中可以不必选中所列条件名的一条 B.除非所有条件句的选择值能完整覆盖CASE语句中表达式的取值,否则最末一个条件句的选择必须加上最后一句“WHEN OTHERS=><顺序语句>” C.CASE语句中的选择值只能出现一次 D.WHEN条件句中的选择值或标识符所代表的值必须在表达式的取值范围 7.以下哪个程序包是数字系统设计中最重要最常用的程序包 B A.STD_LOGIC_ARITH B.STD_LOGIC_1164 C.STD_LOGIC_UNSIGNED D.STD_LOGIC_SIGNED 8.基于EDA软件的FPGA / CPLD设计流程为:原理图/HDL文本输入→ A →综合→适配→时序仿真→编程下载→硬件测试。 A.功能仿真B.逻辑综合C.配置D.引脚锁定 9.不完整的IF语句,其综合结果可实现 D A.三态控制电路B.条件相或的逻辑电路 C.双向控制电路D.时序逻辑电路 10.下列语句中,属于并行语句的是A A.进程语句B.IF语句C.CASE语句D.FOR 语句 11.综合是EDA设计流程的关键步骤,综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;在下面对综合的描述中, C 是错误的。 A.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD 的基本结构相映射的网表文件 B.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系不是唯一的 C.综合是纯软件的转换过程,与器件硬件结构无关 D.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束 12.CPLD的可编程是主要基于什么结构 D 。 A.查找表(LUT)B.ROM可编程

2013内蒙古大学研究生英语复试部分试题

一阅读 Students graduating from colleges today are not fully prepared to deal with the“real world.”I t is my belief that college students need to be taught more skills and information to enable them to meet the challenges that face everyone in daily life. The areas in which students need training are playing the credit game, planning their personal financial strategy, and consumer awareness. Learning how to obtain and use credit is probably the most valuable knowledge a young person can have. Credit is a dangerous tool that can be of tremendous help if it is handled with caution. Having credit can enable people to obtain material necessities before they have the money to purchase them outright. But unfortunately, many, many young people get carried away with their handy plastic credit cards and awake one day to find they are in serious financial debt. Learning how to use credit properly can be a very difficult and painful lesson indeed. Of equal importance is learning how to plan a person budget. People have to know how to control money; otherwise, it can control them. Students should leave college knowing how to allocate their money for living expenses, insurance, savings, and so forth in order to avoid the “Oh, no! I’m flat broke and I don’t get paid again for two weeks!’ anxiety syndrome. Along with learning about credit and personal financial planning, graduating college students should be trained as consumers. The consumer market today is flooded with a variety of products and services of varying quality and prices. A young person entering the “real world” is suddenly faced with difficult decisions about which product to buy or whose services to engage. He is usually unaware of such things as return policies, guarantees, or repair procedures. Information of this sort is vital knowledge to everyday living. For a newly graduated college student, the “real world” can be a scary place to be when he or she faced with such issues as handling credit, planning a budget, or knowing what to look for when making a purchase and whom to purchase it from. Entering the “real world” could be made less painful if people were educated in dealing with these areas of daily life. What better place to accomplish this than in college? 21. According to the writer, graduating students _____________. A) will find it hard to get a job with only knowledge gained form college B) have insufficient skills and knowledge and do not deserve a college diploma C) will not be able to earn enough money to support themselves D) do not have the necessary knowledge and skill to deal with the realities of life 22. The writer points out that many young people __________________. A) fall into debt due to ill-advised use of credit cards B) have to depend on credit to purchase some material necessities C) do not know the power of credit and easily run into serious financial debt D) start buying too much on credit before they get a paid job 23. Students suffer from an anxiety syndrome because _____________. A) they do not have their parents’ financial support B) they are worried that they don’t get paid on time C) they run out of money and can’t cover their living expenses D) they can’t afford to buy insurance for themselves 24. To “train students as consumers” means to enable them to _____________. A) handle their credit with caution B) plan their spending carefully so that they don’t go broke

相关文档
相关文档 最新文档