文档库 最新最全的文档下载
当前位置:文档库 › 南京理工大学EDA设计(一)实验报告

南京理工大学EDA设计(一)实验报告

南京理工大学EDA设计(一)实验报告
南京理工大学EDA设计(一)实验报告

(最新版)南京理工大学EDA设计实验报告

摘要 通过实验学习和训练,掌握基于计算机和信息技术的电路系统设计和仿真方法。要求:1. 熟悉Multisim软件的使用,包括电路图编辑、虚拟仪器仪表的使用和掌握常见电路分析方法。2. 能够运用Multisim软件对模拟电路进行设计和性能分析,掌握EDA设计的基本方法和步骤。Multisim常用分析方法:直流工作点分析、直流扫描分析、交流分析。掌握设计电路参数的方法。复习巩固单级放大电路的工作原理,掌握静态工作点的选择对电路的影响。了解负反馈对两级放大电路的影响,掌握阶梯波的产生原理及产生过程。 关键字:电路仿真 Multisim 负反馈阶梯波 目次 实验一 (1) 实验二.............................................................................................11实验三 (17)

实验一单级放大电路的设计与仿真 一、实验目的 1.设计一个分压偏置的单管电压放大电路,要求信号源频率5kHz(峰值10mV) , 负载电阻5.1kΩ,电压增益大于50。 2.调节电路静态工作点(调节电位计),观察电路出现饱和失真和截止失真的输 出信号波形,并测试对应的静态工作点值。 3.调节电路静态工作点(调节电位计),使电路输出信号不失真,并且幅度尽可 能大。在此状态下测试: ①电路静态工作点值; ②三极管的输入、输出特性曲线和β、r be 、r ce值; ③电路的输入电阻、输出电阻和电压增益; ④电路的频率响应曲线和f L、f H值。 二、实验要求 1.给出单级放大电路原理图。 2.给出电路饱和失真、截止失真和不失真且信号幅度尽可能大时的输出信号波 形图,并给出三种状态下电路静态工作点值。 3.给出测试三极管输入、输出特性曲线和β、r be 、r ce值的实验图,并给出 测试结果。 4.给出正常放大时测量输入电阻、输出电阻和电压增益的实验图,给出测试结 果并和理论计算值进行比较。 5.给出电路的幅频和相频特性曲线,并给出电路的f L、f H值。 6.分析实验结果。 三、实验步骤 实验原理图:

南京理工大学EDA1实验报告(模电部分)

南京理工大学EDA课程设计(一) 实验报告 专业:自动化 班级: 姓名: 学号: 指导老师: 2013年10月

摘要 在老师的悉心指导下,通过实验学习和训练,我已经掌握基了于Multisim的电路系统设计和仿真方法。在一周的时间内,熟悉了Multisim软件的使用,包括电路图编辑、虚拟仪器仪表的使用和掌握常见电路分析方法。能够运用Multisim软件对模拟电路进行设计和性能分析,掌握EDA设计的基本方法和步骤。 实验一:单级放大电路的仿真及设计,设计一个分压偏置的单管电压放大电路,并进行测试与分析,主要测试最大不失真时的静态工作点以及上下限频率。 实验二:负反馈放大电路的设计与仿真,设计一个阻容耦合两级电压放大电路,给电路引入电压串联深度负反馈,,观察负反馈对电路的影响。 实验三:阶梯波发生器的设计与仿真,设计一个能产生周期性阶梯波的电路,对电路进行分段测试和调节,直至输出合适的阶梯波。改变电路元器件参数,观察输出波形的变化,确定影响阶梯波电压范围和周期的元器件。 关键词:EDA设计及仿真multisim 放大电路反馈电路阶梯波发生器

实验一:单级放大电路的仿真及设计 一、实验要求 1、设计一个分压偏置的单管电压放大电路,要求信号源频率5kHz(峰值10mV) ,负载电阻5.1kΩ,电压增益大于50。 2、调节电路静态工作点(调节电位计),观察电路出现饱和失真和截止失真的输出信号波形,并测试对应的静态工作点值。 3、调节电路静态工作点(调节电位计),使电路输出信号不失真,并且幅度最大。在此状态下测试: (1)电路静态工作点值; (2)三极管的输入、输出特性曲线和 、r be 、r ce值; (3)电路的输入电阻、输出电阻和电压增益; (4)电路的频率响应曲线和f L、f H值。 二、实验步骤 1、设计分压偏置的单级放大电路如图1-1所示: 图1-1、单级放大电路原理图

南京理工大学EDA设计(1) 优秀

南京理工大学EDA设计(Ⅰ) 实验报告 作者: 学号: 学院(系): 专业: 吴少琴 指导老师: 实验日期: 10.27 - 10.30 2014 年 11 月

摘要 本次EDA实验主要由四个实验组成,分别是单级放大电路的设计与仿真、差动放大电路的设计与仿真、负反馈放大电路的设计与仿真、阶梯波发生器电路的设计。通过电路的设计和仿真过程,进一步强化对模拟电子线路知识的理解和应用,增强实践能力和对仿真软件的运用能力。 关键词 EDA 设计仿真

目录 实验一单级放大电路的设计与仿真 (1) 实验二差动放大电路的设计与仿真 (11) 实验三负反馈放大电路的设计与仿真 (18) 实验四阶梯波发生器电路的设计 (29) 总结 (42) 参考文献 (42)

实验一单级放大电路的设计与仿真 一、实验目的 1、掌握放大电路静态工作点的调试方法。 2、掌握方法电路在不失真状态下电路参数的计算方法。 3、掌握放大电路饱和失真和截止失真时的波形状态并了解其形成原因。 4、观察静态工作点的选择对输出波形及电压放大倍数的影响。 二、实验要求 1.设计一个分压偏置的单管电压放大电路,要求信号源频率10kHz(峰值5mV) ,负载电阻8kΩ,直流供电电源为12V。要求设计指标为电压增益50至100倍之间,带宽大于1MHz。 2.调节电路静态工作点(调节偏置电阻),观察电路出现饱和失真和截止失真的输出信号波形,并测试对应的静态工作点值。 3.调节电路静态工作点(调节偏置电阻),使电路输出信号不失真,并且幅度最大。在此状态下测试: ①电路静态工作点值; ②三极管的输入、输出特性曲线和 、r be、r ce值; ③电路的输入电阻、输出电阻和电压增益; ④电路的频率响应曲线和f L、f H值。 三、实验步骤 (一)单级放大电路原理图

南理工EDA2多功能数字钟设计实验报告(蒋立平)——优秀

EDAⅡ实验报告 --多功能数字钟 学院专业: 学生学号: 指导老师:蒋立平 交稿时间:2012年3月25日

摘要 本实验借助于quartusⅡ软件设计一个多功能的数字时钟,具有24小时计时、星期显示、保持、清零、校分校时校星期、整点报时等基本功能,并在此基础上添加了闹钟、音乐闹钟、秒表等附加功能。同时,留有万年历的接口可以方便的进行扩展。.利用quartusⅡ进行相应的设计、仿真、调试,最后下载到SmartSOPC实验系统上验证设计的正确性。 关键词:多功能数字时钟,quartusⅡ,计时,星期显示,整点报时,闹钟,秒表 ABSTRACT This experiment is to design a multifunctional digital clock with quartus Ⅱ.The multifunctional digital clock has varities of the functions like 24-hour timer,week,keeping,clearing zero,adjusting time and chime on integral hour .It also include additional functions such as alarm clock,stopwatch and so on.At the sametimes,it can be added calendar.we designed and simulated with quartusⅡ.Finally downloaded it to the experiment platform to test. Key words:multifunctional digital clock,quartusⅡ,time,week,chime on integral hour, alarm clock,stopwatch

南京理工大学EDA(1)实验报告

EDA设计(一)实验报告

实验一单级放大电路的设计与仿真 一.实验要求 设计一个分压偏置的单管电压放大电路,要求信号源频率5kHz(峰值10mV) ,负载电阻5.1kΩ,电压增益大于50。 调节电路静态工作点(调节电位计),观察电路出现饱和失真和截止失真的输出信号波形,并测试对应的静态工作点值。 调节电路静态工作点(调节电位计),使电路输出信号不失真,并且幅度最大。在此状态下测试: 1、电路静态工作点值; 2、三极管的输入、输出特性曲线和 、 Rbe 、Rce值; 3、电路的输入电阻、输出电阻和电压增益; 4、电路的频率响应曲线和fL、fH值。 二.实验原理 三极管在工作正常放大区时,可以起到放大的作用。但三极管工作在放大区的前提是直流电源为三极管提供合适的静态工作点。如果三极管的静态工作点不合适,则会导致放大出现饱和或截至失真,而不能正常放大。 当三极管工作在合适的静态点时,三极管有电压放大的作用。此时表征放大电路的交流参数为输入电阻,输出电阻以及电压放大倍数。 由于电路中有电抗元件电容,另外三极管PN结也有等效电容的作用,所以,对于不同频率的交流输入信号,电路的电压放大倍数是不同的。电压放大倍数与频率的关系定义为频率特性。

三.单级放大电路原理图 四.实验步骤 1.调节电路静态工作点(调节电位计Rw),用示波器观察电路出现饱和失真、截止失真和使电路输出信号不失真(并且幅度最大)时输出信号波形,并测试对应的静态工作点值。 (1)当电位计R w为0%时(即滑动变阻器取0欧姆时)电路出现饱和失真; 饱和失真波形为下图:

由波形图可以看出波形的下部明显被削平,波形处于失真状态,因此可得到饱和失真有削底现象。 此时,电路饱和失真时的静态工作点值为: 即管压降ce V =0.1V ,be U =0.659V ,基极电流b I =0.13mA ,集电极电流c I =3mA 。 根据以上数据可分析得ce V

格雷码、二进制转换电路,vhdl南京理工大学紫金学院实验报告,eda

EDA技术与应用 实验报告 实验名称:格雷码、二进制转换电路 姓名: 学号: 班级:通信 时间:2013 南京理工大学紫金学院电光系

实验目的 1)学习用VHDL代码描述组合逻辑电路的方法。 2)掌握whe n…else…和gen erate 并行语句的使用。 实验原理 1、格雷码、二进制码转换理论分析 4位格雷码二进制转换的真值表如图1。 G5G1Gi G*Bi Bl RO fl Q Q U Q V Q0 0V D丄b a01 €0110Q i0 01e0a*1 打1100100 1110101 亦1G10110 416011ft 110]a00 t10丄1001 11111Q10 111u1a I] 10101140 10111101 10611110 i4001i11 图14 由真值表得到的表达式如下: B3 G3 B2 B3 G2 B1 B2 G1 BO B1 G0 对于n位二进制码转换为格雷码的码转换电路,转换表达式如下: g n a n g i a i 1 a i 2、GENERIC GENERAT语句 a、GENERIC GENERIC被称为参数传递映射语句,它描述响应的元件类属参数间的衔接和传送方式。 参数传递语句用于设计从外部端口改变原件内部参数或结构规模的元件,也可称其为类书元件。该语句在改变电路结构或元件硬件升级方面显得尤为便捷。

其语句格式为: generic map(param.list)port map(port list); 例:generic(n:integer:=7); 该语句定义了参数N为整数,且赋值为7。 b、GENERAT语句 电路某部分由同类元件构成,这类同类元件叫做规则结构,如:ROM,RA,M 移位寄存器 等规则结构可以用生成语句来描述。生成语句可以用for_generate 语句描述。 其书写格式如下: 标号:for 循环变量in 离散范围generate 并行处理语句; end generate 标号; 其中,标号是for_generate 语句的唯一标识符,是可选项;循环变量的值在每一次循环中都发生变化;离散范围用来指定变量循环的取值范围,循环变量实际上规定了循环的次数;循环变量每去一个只就执行一次并行语句。 该语句和for_loop 语句很类似,但是二者也有一定的区别。For_generate 语句中执行的语句为并行语句,而for_loop 语句中执行的语句为顺序语句。 三、实验内容 1、建立工程、输入代码先建立工程,工程命名为“ b_to_g ”, 顶层文件名为“ b_to_g ”。 选择“ File->New ”,在弹出的窗口中选择“ VHDL File ”建立“ VHDL文件。在新建的VHDL文件中输入格雷码、二进制码转换的VHDL代码。将文件保存。 代码如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity b_to_g is generic(n:integer:=7); port(b:in std_logic_vector(n downto 0); g:buffer std_logic_vector(n downto 0)); end; architecture rhg of b_to_g is begin g(n)<=b(n); b1:for i in 0 to n-1 generate g(i)<=b(i+1) xor b(i); end generate b1; end;

单级放大电路,南京理工大学紫金学院eda实验报告

EDA(二)模拟部分电子线路仿真实验报告 实验名称:单级放大电路 姓名: 学号: 班级:通信 时间: 2013.4 南京理工大学紫金学院电光系

一.实验目的 1.三极管输入输出特性曲线分析; 2.掌握放大电路静态工作点的测试方法; 3.掌握放大电路动态参数的测试方法; 4.静态工作点对动态参数的影响以及失真分析 二、实验原理 分析静态工作点一般采用估算法求解,其步骤为: (1)画出电路的直流通路 (2)选择回路计算基极电位V B (3)选择合适的回路计算I E、I B、U CE 利用软件有两种方法求得电路的静态工作点,一种用万用表测量,另一种利用DC Operating Point仿真手段来得到。 放大电路的动态分析主要分析电路三个参量Au、Ri、Ro,首先应画出微变等效电路图。 三.实验内容 2.1 1.电路图

2、静态分析 理论分析:步骤 1.画出电路的直流通路 2.选择回路计算基极电位V B 3.选择合适的回路计算I E ,I B ,U CE 所用分压偏置电路直流通路如图所示:

基极电流I B 很小,故I B <

南京理工大学EDA设计 多功能数字钟的设计

EDA数字钟的设计 指导老师:谭雪琴 姜萍 姓名:张羊 学院:电子工程与光电技术学院专业:真空电子技术 学号:0804620127 完成时间:2011年4月

目录: 一.设计要求……………………………………………………………… 二.方案论证……………………………………………………………… 三.子模块设计…………………………………………………………… 1.频率源设计…………………………………………………………… ①模48计数器的设计……………………………………………… ②模1000计数器的设计…………………………………………… ③模2计数器的设计……………………………………………… ④ 1KHZ脉冲的设计………………………………………………… ⑤ 500HZ脉冲的设计……………………………………………… ⑥ 1HZ脉冲的设计………………………………………………… 2.计数部分电路设计…………………………………………………… ①模60计数器的设计……………………………………………… ②模24计数器的设计……………………………………………… ③计数器的设计…………………………………………………… 3.清零和保持电路的设计………………………………………………

4.校分和校时电路的设计……………………………………………… 5.报时电路的设计……………………………………………………… 6.显示电路的设计……………………………………………………… ①模6计数器的设计……………………………………………… ② 24选4(或六选一)数据选择器的设计………………………… ③动态显示设计…………………………………………………… 7.最终总体电路………………………………………………………… 四.附加闹铃功能………………………………………………………… ①频率源的设计…………………………………………………… ②闹铃的设计………………………………………………………五.编程下载……………………………………………………………… 六.实验总结和感受………………………………………………………七.参考文献………………………………………………………………

南京理工大学数字计时器设计实验报告EDA

数字计时器设计实验报告 姓名:XX 学号:10042104XX 班级:10042104 实验时间:2012年9月17日

目录1 摘要2 关键词2 正文2 实验目2 电路功能设计要求2 设计内容简介3 设计原理3 秒脉冲发生电路3 计时电路5 译码显示电路6 清零电路7 校分电路8 报时电路8 实验中遇到的问题和解决方法10 附录11 参考文献11 电路总图11 元件清单11 芯片引脚图和功能表12

摘要:本次实验分部设计时钟产生电路、计数电路、显示和驱动电路、快速循环校分、开机或手动清零电路、报时电路并分别调试,最终组合测试的方式完成了9:59秒(循环)计时电路的设计.按设计实验完成之后可以实现多种功能.本文介绍了各个部分的功能,阐述了设计方法并且展示了线路布局. 关键词:计数七段显示时钟清零 正文: 一.实验目的 1.掌握常规电子器件的使用方法,了解各个器件的参数; 2.初步了解电子功能器件的按功能分部设计方法. 二.电路功能设计要求 1、设计制作一个0分00秒~9分59秒的多功能计时器,设计要求如下: a.设计一个脉冲发生电路,为计时器提供秒脉冲(1HZ),为报时电路提供驱动蜂鸣 器的高低脉冲信号(1KHZ、2KHZ); b.设计计时电路:完成0分00秒~9分59秒的计时、译码、显示功能; c.设计清零电路:具有开机自动清零功能,并且在任何时候,按动清零开关,可以对计 时器进行手动清零. d.设计校分电路:在任何时候,拨动校分开关,可进行快速校分.(校分隔秒) e.设计报时电路:使数字计时器从9分53秒开始报时,每隔一秒发一声,共发三声低 音,一声高音;即9分53秒、9分55秒、9分57秒发低音(频率1kHz),9分59秒发高音(频率2kHz); f.系统级联.将以上电路进行级联完成计时器的所有功能.

南理工EDA2 DDS实现 基于quartus

一、设计内容简介 DDS即Direct Digital Synthesizer数字合成器,是一种基于全数字技术从相位概念出发直接合成所需波形的一种频率合成技术。具有相对带宽大,频率转换时间短,分辨力高,相位连续性好等优点,很容易实现频率相位和幅度的数控调制,广泛应用于通讯领域。本实验是设计一个频率和相位均可控制的具有正弦和余弦输出的直接数字频率合成器。 二、设计目的 1·学习运用EDA技术,使用FPGA实现直接数字频率合成器(DDS)。 2·熟悉掌握QuartusⅡ软件的使用方法。 3·熟悉掌握各芯片的逻辑功能及使用。 4·了解下载板结构及其引脚分配。 5·提高动手能力以及提出问题分析问题解决问题的能力。 三、实验设计要求 (1)设计基本要求 1、利用QuartusII软件和SmartSOPC实验箱实现DDS的设计; 2、DDS中的波形存储器模块用Altera公司的Cyclone系列FPGA芯片中的RAM实现,RAM结构配置成212×10类型; 3、具体参数要求:频率控制字K取4位;基准频率fc=1MHz,由实验板上的系统时钟分频得到; 4、系统具有使能功能; 5、利用实验箱上的D/A转换器件将ROM输出的数字信号转换为模拟信号,能够通过示波器观察到正弦波形; 6、通过开关(实验箱上的Ki)输入DDS的频率和相位控制字,并能用示波器观察加以验证; (2)设计提高部分要求 1、通过按键(实验箱上的Si)输入DDS的频率和相位控制字,以扩大频率控制和相

位控制的范围;(注意:按键后有消颤电路) 2、能够同时输出正余弦两路正交信号; 3、在数码管上显示生成的波形频率; 4、充分考虑ROM结构及正弦函数的特点,进行合理的配置,提高计算精度; 5、设计能输出多种波形(三角波、锯齿波、方波等)的多功能波形发生器; 6、基于DDS的AM调制器的设计; 7、自己添加其他功能。 四、实验设计原理 直接数字频率合成器(Direct Digital Frequency Synthesizer)是一种基于全数字技术,从相位概念出发直接合成所需波形的一种频率合成技术。工作原理是:先将已经数字化的正弦波(或三角波、方波)信号的幅值数据存储在波形存储器ROM 或RAM 中,然后在标准时钟的作用下,通过控制电路按照一定的地址关系从ROM 中读出来,送入D/A转换为模拟波形,再通过低通滤波器,将D/A输出波形上的阶梯和毛刺滤除,即可获得所需波形的模拟信号。整体电路工作原理图如下图所示。 DDS工作流程示意图如下图所示。 (1)频率预置与调节电路 作用:实现频率控制量的输入;不变量K被称为相位增量,也叫频率控制字。 (2)累加器

南京理工大学EDA设计单级放大电路的设计与仿真

实验一单级放大电路的设计与仿真实验报告 一.实验目的 1.掌握放大电路的静态工作点的调整和测试方法。 2.掌握放大电路的动态参数的测试方法。 3.观察静态工作点的选择对输出波形及电压放大倍数的影响。 二.实验原理 当三极管工作在放大区时具有电流放大作用,只有给放大电路中的三极管提供合适的静态工作点才能保证三极管工作在放大区,如果静态工作点不合适,输出的波形会产生非线性失真。 当静态工作点设置在合适的位置时,三极管具有放大特性。通过合适的外接电路可实现电压放大。表征放大电路放大特性的交流参数有电压放大倍数,输入电阻,输出电阻。 由于电路中存在电抗电容元件,因此,对于不同频率的输入信号,电路的电压放大倍数不同。电压的放大倍数与皮率的关系定义为频率特性。 三.实验步骤 1.绘制电路饱和失真、截止失真和不失真时的输出信号波形图,测量三种状态下电路静态工作点值。 实验原理图

测静态工作点图 (1)饱和失真 当R6=0Ohm,时三极管工作在饱和区,输出波形产生非线性失真。

饱和失真输出波形图 此时,电路的静态参数为Ic=3.894mA, Ib=239.808uA Uce=78.893mV. (2)不失真输出 当R6=12.5kOhm,时三极管工作在放大区,输出波形为正弦波。 不失真输出波形图 此时,电路的静态参数为Ic=2.926mA, Ib=14.211uA, Uce=3.209V. (3)截止失真输出 当R6=45kOhm时三极管工作在截止区,输出波形产生非线性失真。

截止失真输出波形图 此时,电路的静态参数为Ic1=.165mA, Ib=5.329uA, Uce=8.505V. 2.测电路的输入电阻、输出电阻和电压增益; 加入信号源频率5kHz(幅度1mV) ,R6=12.5kOhm.调节电路使输出不失真。 (1)按下图输入电阻

南京理工大学EDA2数字钟实验报告

EDAⅡ实验报告(多功能数字时钟设计) 学号: 姓名: 学院:自动化学院 指导老师:花汉兵 时间:2015年3月8日

摘要 本次实验利用QuartusII7.0软件设计了一个具有24小时计时、保持、清零、快速校时校分、整点报时、动态显示等功能的的多功能数字钟。并利用QuartusII7.0软件对电路进行了详细的仿真,同时通过SMART SOPC实验箱对电路的实验结果进行验证。 Abstract This experiment uses the QuartusII7.0 software to design one to have 24 hours time, the maintenance, the reset, the fast timing school minute,the integral point reports time and so on digital clocks.And using the QuartusII software realizes the multi-purpose digital clock simulation. Through the SmartSOPC experiment box, I confirm the result of this experiment. 1.设计要求 本次EDA设计利用Quartus II7.0软件设计一个多功能数字钟,并下载到Smart SOPC实验系统中进行验证。该数字计时器可以完成从00:00:00到

17:59:59的计时功能,并在控制电路的作用下具有保持、清零、快速校时、快速校分、整点报时以及众多附加功能。 1、能进行正常的时、分、秒计时功能; 2、分别由六个数码管显示时分秒的计时; 3、 K1是系统的使能开关(K1=0正常工作,K1=1时钟保持不变); 4、 K2是系统的清零开关(K2=0正常工作,K2=1时钟的分、秒全清零); 5、 K3是系统的校分开关(K3=0正常工作,K3=1时可以快速校分); 6、 K4是系统的校时开关(K4=0正常工作,K4=1时可以快速校时) 2.设计方案原理 本实验要求的数字时钟是由脉冲发生电路,计时电路,校时校分保持电路,清零电路,报时电路以及译码显示电路组成。实验为了设计时清晰明了,采取了分模块设计的方式,最后由各模块组装成总的电路。 其中脉冲发生电路是将实验室提供的48Mhz 的频率进行分频得到设计要求的各频率脉冲,计时电路由模60和模17计时器构成,校时校分保持及清零电路分别由各自的开关K 控制,报时电路是通过计时电路的时分秒的输出的反馈信号,连接到一个蜂鸣器上实现报时,译码显示电路时由24to4模块和一个译码器7447组成,连接六个数码管。 简易的总体原理图如下: 计时电路 校分校时保持清零电路 脉冲发生电路 译码显示电路 报时电路

集成运算放大电路,南京理工大学紫金学院eda实验报告

EDA(五)模拟部分电子线路仿真实验报告 实验名称:集成运算放大电路 姓名: 学号: 班级:通信 实验时间:2012.5 南京理工大学紫金学院电光系

一、 实验目的 1、掌握运算电路的特点,能组成基本的运算电路。 2、掌握集成运算放大电路输入电压和输出电压的关系。 3、掌握运算电路参数的测试方法。 二、 实验原理 1、 反相比例运算电路 图6-3为反相比例运算电路原理图: 图6-3 反相比例运算电路原理图 由虚断得: 0==-+I I 故:0≈+u ,f i I I =; 由虚短得: 0=≈-+u u 11//)(R u R u u I i i i ≈-=- f o f o f R u R u u I //)(-≈-=- 利用两式可以得到输入和输出电压的关系: i f o R R u u 1 - = 其中负号表示输出信号和输入信号相位相反。电路中R2为平衡电阻,f R R R //12=。 当f R R =1时,i o u -=u ,此时电路为反相器。 2、原理图如图6-18所示,输入信号从反相输入端输入,利用电容作为反馈网络。由虚断和 虚短得:,1 i R v i i c = = 对电容而言:

t i C d 1 u C C ? = 则输出电压为: t C R t i C d u 1d 1u u i 1C C O ? ?-=- =-= 因此输出信号的波形和输入信号的波形有关系。 图6-18 积分运算电路原理图 1)设正弦波输入,频率为f ,则波形可以表示为: )2sin()sin(ft t u i πω== 则输出电压的关系式为: )2cos(21 )(u 1O ft f C R t ππ- = 说明:正弦波输入,经积分电路后输出波形为余弦波,输出电压的幅度为 f C R V om π211= ,相位超前输入信号0 90。 2)方波输入 方波信号输入时,在某一段时间t ~0内U u i =为一恒量,在另一段时间t t 2~内 U u i -=,也是一个恒量。 输出电压的表达式为: )()()(d 11121I 2 1t u RC t t u t u t u RC u C i C t t o +--=+-=? 故方波输入,当C 选择合适当且信号稳定后输出信号为三角波,如图6-19所示。 图6-19 方波输入时输入和输出信号波形

南京理工大学EDA2实验报告

南京理工大学EDA(二)实验报告 学号: 姓名: 学院: 指导老师: 时间:2014年11月30日

摘要:本实验通过使用QuartusⅡ软件,并结合数字逻辑电路的知识设计多功能数字钟,可以实现正常的时、分、秒的计数功能,分别由六个数码管显示计时,可以利用开关实现系统的计时保持、清零和校分、校时、校星期的功能。同时,该电路系统还可以完成在59'53'', 59'55'',59'57''低音报时,59'59''高音报时的基本功能。在此基础上,本实验还设计了扩展功能,包括星期计时、校星期以及通过开关与门电路切换到秒表计时的功能。我原本还尝试设计闹钟的功能,但是闹钟的扩展功能还不够完善,目前完成了切换显示部分,但是报时还存在缺陷。在利用QuartusⅡ进行相应的设计、仿真、调试后下载到SmartSOPC实验系统上验证设计的正确性。 关键词:QuartusII,数字钟,分频,计时显示,保持清零,校分校时校星期,报时,星期计数,秒表 Abstract:This experiment is based on QuartusⅡ,with the help of knowledge regarding the digital logic circuits and system design,to design a multifunctional digital clock.The basic function of the multifunctional digital clock is a24-hour timer,and the exact time can be showed by six led lights. Also we can achieve the functions like time keeping, clearing and time and week adjusting by using the switches. Beyond the basic function,I improved the multifunctional digital clock and it can beep in low frequency at59'53'', 59'55'',59'57''and in high frequency at59'59''.Based on

南京理工大学EDA1实验报告(模电部分)

EDA课程设计(一) 实验报告 专业:自动化 班级: 姓名: 学号: 指导老师:

摘要 在老师的悉心指导下,通过实验学习和训练,我已经掌握基了于Multisim的电路系统设计和仿真方法。在一周的时间内,熟悉了Multisim软件的使用,包括电路图编辑、虚拟仪器仪表的使用和掌握常见电路分析方法。能够运用Multisim软件对模拟电路进行设计和性能分析,掌握EDA设计的基本方法和步骤。 实验一:单级放大电路的仿真及设计,设计一个分压偏置的单管电压放大电路,并进行测试与分析,主要测试最大不失真时的静态工作点以及上下限频率。 实验二:负反馈放大电路的设计与仿真,设计一个阻容耦合两级电压放大电路,给电路引入电压串联深度负反馈,,观察负反馈对电路的影响。 实验三:阶梯波发生器的设计与仿真,设计一个能产生周期性阶梯波的电路,对电路进行分段测试和调节,直至输出合适的阶梯波。改变电路元器件参数,观察输出波形的变化,确定影响阶梯波电压范围和周期的元器件。 关键词:EDA设计及仿真multisim 放大电路反馈电路阶梯波发生器

实验一:单级放大电路的仿真及设计 一、实验要求 1、设计一个分压偏置的单管电压放大电路,要求信号源频率5kHz(峰值10mV) ,负载电阻5.1kΩ,电压增益大于50。 2、调节电路静态工作点(调节电位计),观察电路出现饱和失真和截止失真的输出信号波形,并测试对应的静态工作点值。 3、调节电路静态工作点(调节电位计),使电路输出信号不失真,并且幅度最大。在此状态下测试: (1)电路静态工作点值; (2)三极管的输入、输出特性曲线和 、r be 、r ce值; (3)电路的输入电阻、输出电阻和电压增益; (4)电路的频率响应曲线和f L、f H值。 二、实验步骤 1、设计分压偏置的单级放大电路如图1-1所示: 图1-1、单级放大电路原理图

南京理工大学eda多功能数字钟

EDA设计实验报告多功能数字时钟设计 院系: 电光学院 专业:通信工程 班级:10042203 学号:1004220309 姓名:苏杭 指导老师:蒋立平

摘要 该实验利用quartus II软件设计一个多功能数字钟,进行实验设计和仿真调试,实现了计时,校时,校分,清零,保持和整点报时等多种基本功能,并下载到smart SOPC实验系统中进行调试和验证。此外还添加了秒表功能,使得设计的数字钟功能更加完善。 Abstract :This experiment is to design a digital clock which is based on Quartus software and in which many basic functions like time-counting , hour-correcting , minute-correcting , reset , timing-holding and belling on the hour. And then validated the design on the experimental board . In addition, additional functions like reseting the stopwatch make this digital clock a perfect one.

目录 1.设计要求 (4) 2.工作原理 (4) 3.各模块说明 (5) 1)分频模块 (7) 2)计时模块 (13) 3)显示模块 (16) 4)校分与校时模块 (17) 5)清零模块 (18) 6)保持模块 (18) 7)报时模块 (18) 4.扩展模块 (19) 1)秒表模块 (19) 5.调试、编程下载 (20) 6.实验中出现问题及解决办法 (21) 7.实验收获与感受 (22) 8.参考文献 (23)

南京理工大学eda设计(1)报告材料(优秀)

EDA设计(I)实验报告 院系: 专业: 班级: 学号: 姓名: 指导老师:

实验一 单级放大电路的设计与仿真 一.实验目的 1. 掌握放大电路静态工作点的调整和测试方法。 2. 观察静态工作点的选择对输出波形的影响。 3. 掌握电路输入电阻、输出电阻的测试方法。 4. 观察电路的频率响应曲线以及掌握电路上、下限频率的测试方法。 二.实验原理 当三极管工作在放大区时具有电流放大作用,只有给放大电路中的三极管提供合适的静态工作点才能保证三极管工作在放大区,如果静态工作点不合适,输出波形则会产生非线性失真——饱和失真和截止失真,而不能正常放大。 当静态工作点设置在合适的位置时,即保证三极管在交流信号的整个周期均工作在放大区时,三极管有电流放大特性。通过适当的外接电路,可实现电压放大。表征放大电路放大特性的交流参数有电压放大倍数、输入电阻、输出电阻。 由于电路中有电抗组件电容,另外三极管中的PN 结有等效电容存在,因此,对于不同频率的输入交流信号,电路的电压放大倍数不同,电压放大倍数与频率的关系定义为频率特性,频率特性包括:幅频特性——即电压放大倍数的幅度与频率的关系;相频特性——即电压放大倍数的相位与频率的关系。 三.实验要求 1)设计一个分压偏置的单管电压放大电路,要求信号源频率5kHz(幅度1mV) ,负载电阻5.1k Ω,电压增益大于50。 2)调节电路静态工作点(调节电位计),观察电路出现饱和失真和截止失真的输出信号波形,并测试对应的静态工作点值。 3)加入信号源频率5kHz(幅度1mV) ,调节电路使输出不失真,测试此时的静态工作点值。测电路的输入电阻、输出电阻和电压增益; 4)测电路的频率响应曲线和L f 、H f 值。

南京理工大学EDA设计(一)实验报告

目 录 实验一 单级放大电路的设计与仿真 (3) 一、实验目的 (3) 二、实验要求 (3) 三、实验原理图 (3) 四、实验过程及结果 (4) 1、电路的饱和失真和截止失真分析 (4) 2、三极管特性测试 (7) 3.电路基本参数测定 (10) 五、数据分析 (13) 六、实验感想 (13) 实验二 差动放大电路的设计与仿真 (15) 一、实验目的 (15) 二、实验要求 (15) 三、实验原理图 (15) 四、实验过程及结果 (16) 1、电路的静态分析 (16) 2.电路电压增益的测量 (22) 五、数据分析 (24) 六、实验感想 (25) 实验三 反馈放大电路的设计与仿真 (26) 一、实验目的 (26) 二、实验要求 (26) 三、实验原理图 (26) 四、实验过程及结果 (27) 1.负反馈接入前后放大倍数f A 、输入电阻i R 、输出电阻o R 的测定 (27) 2.负反馈对电路非线性失真的影响 (30) 五、实验结论 (33) 六、实验感想 (33) 实验四 阶梯波发生器电路的设计 (34) 一、实验目的 (34) 二、实验要求 (34) 三、电路原理框图 (34) 四、实验过程与仿真结果 (35) 1.方波发生器 (35) 2.微分电路 (36)

3.限幅电路 (37) 4.积分电路 (38) 5.比较器及电子开关电路 (39) 五、实验思考题 (41) 六、实验感想 (42) 写在后面的话对此次EDA设计的感想 (43) 问题与解决 (43) 收获与感受 (43) 期望与要求 (43)

实验一 单级放大电路的设计与仿真 一、实验目的 1.掌握放大电路静态工作点的调整和测试方法 2.掌握放大电路的动态参数的测试方法 3.观察静态工作点的选择对输出波形及电压放大倍数的影响 二、实验要求 1.设计一个分压偏置的胆管电压放大电路,要求信号源频率10kHz (峰值1—10mV ),负载电阻Ωk 10,电压增益大于80. 2.调节电路静态工作点(调节偏置电阻),观察电路出现饱和失真和截止失真的输出信号波形,并测试对应的静态工作点值。 3.调节电路静态工作点(调节偏置电阻),使电路输出信号不失真,并且幅度最大。在此状态下测试: ①电路静态工作点值 ②三极管的输入、输出特性曲线和β、be r 、ce r 的值 ③电路的输入电阻、输出电阻和电压增益 ④电路的频率响应特性曲线和L f 、H f 的值 三、实验原理图 如图1.1所示即为一个单机放大电路,电阻1R 、2R 和滑动变阻器6R 组成分压偏置器,调节滑动变阻器6R 的阻值就可以改变三极管的静态工作点。

南理工EDA(Ⅱ)实验报告——多功能数字钟设计

EDA(Ⅱ)实验报告——多功能数字钟设计 指导老师:谭雪琴 学院:自动化学院 班级: 9121102002 姓名:袁佳泉 学号: 912110200330 摘要

该实验是利用QuartusII软件设计一个数字钟,进行试验设计和仿真调试,实现了计时,校时,校分,清零,保持和整点报时等多种基本功能,并下载到SmartSOPC实验系统中进行调试和验证。报告将介绍设计思路与过程,并对每个模块化进行波形输入输出的分析与检验。 关键字:Quartus 数字钟多功能仿真 Abstract This experiment is to design a digital clock which is based on Quartus software and in which many basic functions like time-counting,hour-correcting,minute-correcting,reset,time-hol ding and belling on the hour. And then validated the design on the experimental board.We will talk about the way we design the clock and analyze the input and output of each module. Key words: Quartus Digital-clock Multi-function Simulate 一、设计要求 1.设计一个数字计时器,可以完成00:00:00到23:59:59的计时功能,并 在控制电路的作用下具有保持、清零、快速校时、快速校分、整点报时

相关文档
相关文档 最新文档