文档库 最新最全的文档下载
当前位置:文档库 › 11GHz CMOS环形压控振荡器设计

11GHz CMOS环形压控振荡器设计

11GHz CMOS环形压控振荡器设计
11GHz CMOS环形压控振荡器设计

第26卷 第1期2005年1月

半 导 体 学 报

CHI NESE JOURNA L OF SE MIC ONDUCT ORS

V ol.26 N o.1

Jan.,2005

3国家高技术研究发展计划资助项目(批准号:2001AA312060)

 王雪艳 女,硕士研究生,主要从事万兆以太网和S DH 264专用高速集成电路设计. 朱 恩 男,教授,从事以太网和光纤倍讯系统专用集成电路的研究. 2003212230收到,2004207215定稿

2005中国电子学会

11GH z CMOS 环形压控振荡器设计

3

王雪艳 朱 恩 熊明珍 王志功

(东南大学射频与光电集成电路研究所,南京 210096)

摘要:设计了一种全差分高速环形压控振荡器(VC O ).该VC O 有三级,每一级的增益是快慢通路增益的矢量叠加和,快慢通路的增益由底部电流源决定,差分控制电压通过镜像电流源控制快慢通路的各自电流,最终实现对振荡频率的调节.分析了VC O 的工作原理及其相位噪声.电路采用TS MC 公司0118μm 标准C M OS 工艺制作.测试结果显示:芯片工作频率为10188~11172G H z ,相位噪声为-101dBc/Hz @10MH z ,输出信号抖动为318ps rms ,在118V 电源电压下的直流功耗约为75mW.该VC O 可以应用于锁相环和频率合成器中.关键词:压控振荡器;相位噪声;射频电路

EEACC :1230B

中图分类号:T N752 文献标识码:A 文章编号:025324177(2005)0120187205

1 引言

压控振荡器被广泛应用于通信系统电路中,例如锁相环、频率综合器以及时钟产生和时钟恢复电

路.常见VC O 的实现形式有LC 振荡器和环形振荡器.在GH z 频段上,LC 振荡器的噪声性能优于环形振荡器[1].在标准C M OS 工艺中为了防止栓锁效应,硅衬底多为高掺杂,而当频率达到10GH z 以上时,由于衬底的高掺杂,电感高频损失增加.主要表现为

导电率较低的电感在高频下产生的“趋肤效应”[2]

“涡流效应”[2]

严重,使其自谐振频率和Q 值急剧下降.目前国内外已报道的研究成果中能达到10GH z

以上的多采用一些先进工艺,如0113μm C M OS ,G aAs ,G eSi [3],Bipolar [4],以及非标准的低掺杂衬底来

实现,但无法与现有C M OS 工艺兼容,而现有C M OS 工艺实现的电路速度又比较低[5~7].因此,采用低成本、低功耗、易集成且易寻求代工服务的标准C M OS 工艺设计高速通信集成电路,既是市场的需要,也是研究的重点.

2 电路结构

设计的VC O 系统框图如图1所示,整个系统包括三级延迟、可控镜像电流源、两级输出缓冲和偏置

电路.全部采用全差分、全对称的电路结构,级与级之间直接耦合.前一级输出缓冲用于增加VC O 驱动负载电容的能力,后一级输出缓冲用于消除信号反射而造成的功率损耗,增加传输效率,实现50Ω传输线匹配.两级输出缓冲均采用源级耦合差分对构成.偏置电路包括高值电阻分压结构和有源器件分压结构,分别用于控制输入端的内偏置和电路中的电流源偏置

.

图1 VCO 系统框图

Fig.1 Voltage 2control oscillator architecture

3 电路原理

负反馈振荡器起振的必要条件是环路增益满足下面两个条件:

|H(jω0)|≥1(1)

∠H(jω0)=180°(2) 这些条件是必要非充分的.为了使VC O在温度和工艺存在偏差情况下仍能起振,我们选择环路的增益至少是两到三倍.考虑到级数较多不但增加面积且增加功耗,所以本设计选择三级.根据公式(1)和(2)计算得出,三级环形VC O的每一级低频增益至少是2,3ω0频率信号的相移为60°(3ω0是振荡频率,ω0是每级差分放大对的3dB带宽).

VC O每级的框图如图2所示,它由快速通路和慢速通路组成,差分对NM1,NM2组成信号的快速通路,差分对NM3,NM4和延迟单元组成信号的慢速通路.输出信号是两通路的矢量叠加,V cont调节两通路的增益.当快速通路开启,慢速通路关断时,振荡频率最高;而快速通路关断,慢速通路开启时,振荡频率最低;V cont使快速通路和慢速通路同时开启时,振荡频率由两通路延迟的矢量和决定.慢速通路中插入的延迟单元为源级耦合的基本差分放大器,负载为PM OS管.延迟单元只是要求提供一定的延迟,因此可以牺牲一定的增益来减小输入电容,从而拓展VC O单级带宽.

VC O每级增益的变化通过调节差分对NM1, NM2和差分对NM3,NM4底部电流源实现.由于当NM3,NM4差分对增益为零时,慢速通路就完全关断,所以延迟单元不需要调节.输出信号幅度恒定是我们所希望的.假设差分对NM1,NM2和差分对NM3,NM4电流反向变化,电流和为定值,那么快慢通路的矢量叠加得到的输出信号的摆幅恒定.为了实现电流和为定值,电路中使用了差分镜像电流源,与源级耦合差分对相比,它既避免了低电压时管子叠放对管子工作状态的影响,同时又增强了控制端与输出端的隔离,有利于抑制噪声,提高相位噪声.镜像电流源中,我们选用PM OS差分对是考虑到PM OS管比NM OS管有较小的1/f噪声(闪烁噪声)和较小的噪声跨导.对同样的噪声电压,跨导小的PM OS管输出的噪声电流小

,引起的相位噪声小.

图2 VCO单级电路示意图

Fig.2 One stage of VC O

4 相位噪声分析

VC O的主要性能指标主要包括振荡频率、电压2频率转换的线性度、调谐范围、相位噪声、抖动和制造成本.其中相位噪声和抖动最为关键,主要表现在输出频率的波动,它直接影响定时的精度,降低了一定信噪比情况下的信号传输的误码率,不能实现最佳传输.相位和频率的波动因此成为国内外理论界研究热点[8~10].建立了适用于各种振荡器的模型,其中最主要的是线性时不变(LTI)模型和线性时变(LT V)模型.它们都详细解释了各种噪声源转化为相位和幅度变化的机理,在相位噪声谱线的邻近载频的1/f3区主要受1/f噪声影响.低频的1/f噪声正是由于有源器件在关断过程中的非线性调制而影响输出频谱的.在1/f2区主要受白噪声的影响.在不同的区域内我们重点优化关键噪声.影响相位噪声的主要因素除了噪声功率谱密度和功耗外,还有脉冲敏感函数(ISF)Γ.Γ通过优化输出波形的对称性来减小.

噪声主要来源可以分为两组[11],分别为器件噪声和外加干扰.热噪声(thermal noise)、散弹噪声(shot noise)、闪烁噪声(flicker noise)属于器件噪声;电源噪声和衬底噪声(supply and substrate noise)属于外加干扰噪声.

881半 导 体 学 报第26卷

1/f2区的关键噪声白噪声(white noise)主要是热噪声.热噪声包括电阻热噪声、有源管沟道热噪声和栅电阻热噪声.公式(3)和(4)给出了沟道热噪声和栅热噪声的表达式:

I2nd=4kTγg d0Δf(3)

I2ng=4kTδg gΔf(4)式中 γ,δ是依赖管子偏置的系数,在饱和状态下与沟道长度成反比,短沟道中γ一般为2~3,δ约是γ的2倍;g d0是漏端零偏置下的漏输出跨导;g g 是栅到源导纳的实部.由(3)式可知,减小跨导可以降低噪声.作为恒流源的管子则可采用栅长较长的管子.对于栅宽较大的管子可通过叉指型版图设计来减小栅电阻热噪声.

1/f3区的关键噪声1/f噪声,又称闪烁噪声,它的谱密度随频率的降低而增加.公式(5)给出了有源器件的电流源模型:

I2n=

Kg2m

C ox WL

×1

f

(5)

K是由工艺决定的参数.工艺一定情况下,增大管子面积可以减小1/f噪声.电流源适当选择大一点的管子.一般认为PM OS比NM OS有更小的1/f噪声,因为前者沟道中载流子为空穴[11].前面提到选择PM OS为控制电路的电流源.

电源噪声和衬底噪声对相位噪声的影响不能忽略,与级数有关而与功耗无关.由于振荡器每一级都受到相同的干扰,呈现完全相关的噪声.在频率一定时,级数越多则电源噪声对相位噪声越多,一般选择三级.版图设计中注意完全对称原则,将有效抑制电源引入的共模噪声.尽量增大电源和地线之间的电容,可滤除电源耦合串扰.有源放大管衬底噪声可以看作是电源噪声的负变化,即衬底电压变化-ΔV 等效为电源电压变化ΔV.

5 仿真和测试结果

本设计采用TS MC公司的0118μm C M OS模型进行仿真,前仿真使用Agilent ADS高频设计工具,后仿真使用Cadence的S pectre.版图设计在Cadence环境中完成.流片版图如图3所示,核心面积只有012mm×012mm.

芯片测试在作者所在研究所完成,主要测试设备包括美国Cascade Microtech公司的微波速芯片测试台,G SG SG高频探针高析仪,美国HP公司的

22

图3 VCO芯片照片

Fig.3 Chip die photograph

GH z频谱分析仪和美国Agilent公司86100A系列数字通信分析仪.测试结果表明:芯片工作频率范围为10188~11172GH z,图4为VC O在1115GH z时振荡频谱,在10MH z频偏处(小于1‰f0)测得单边带相位噪声约-101dBc/H z,控制电压在0~112V变化,电压2频率转换系数K VCO为700MH z/V;图5显示振荡器的电压2频率转换具有很好的线性度;图6给出输出时钟信号波形,可以看出波形有很好的对称性,

图4 VCO振荡频谱图

Fig.4 VC O output

spectrum

图5 压控特性曲线

Fig.5 Voltage2control2frequency curve

981

第1期王雪艳等: 11G H z C M OS环形压控振荡器设计

测占空比为48%,输出信号峰2峰值为120mV ;图7

中显示信号抖动为318ps rms.整个芯片以118V 电源电压供电,功耗为75mW.由于输出缓冲带宽的限制,输出信号幅度峰2峰值较小,信号经过输出缓冲后信噪比下降,若采用电感补偿技术拓展输出缓冲带宽,可得到更好的性能

.

图6 输出时钟信号波形

Fig.6 Measured VC O output in time

domain

图7 抖动的柱状图

Fig.7 Jitter of output

6 结论

基于0118μm 标准C M OS 工艺,设计完成了工作

速度达到11GH z 的环形VC O ,比现有设计的工作速度提高了4~6倍.通过对各种噪声的分析以及电路本身对噪声敏感度分析,综合优化电路参数.测试结果显示该VC O 的压控特性线性度好,输出波形基本对称,调频范围适中,相位噪声低于文献[6]中的

-80dBc/H z @5MH z ,满足通信系统的一般要求.可

根据需要调整部分参数集成到锁相环中,用于万兆以太网、ST M 264和OC 2192的时钟产生和时钟恢复电路中.参考文献

[1] Chi Baoy ong ,Shi Bingxue.A 214G H z C M OS quadrature v oltage 2con 2

trolled oscilla based on symmterical spiral inductors and differential diodes.Chinese Journal of Semiconductors ,2002,23(2):131(in Chi 2nese )[池保勇,石秉学.基于对称螺旋型电感和差分二极管的214G H z C M OS 正交输出压控振荡器.半导体学报,2002,23(2):131]

[2] Lee T H.The Design of C M OS radio 2frequency integrated circuits.

Cambirdge :Press of the University of Cambirdge ,1998

[3] G reshishchev Y M ,Schvan P.S iG e clock and data recovery IC with

line type P LL for 102G b/s S ONET application.Proc 1999Bipolar/BiC M OS Circuits and T echnology M eeting ,1999:169

[4] Wurzer M ,et al.402G b/s integtated clock and data recovery circuit in

a silion bipolar technology.Proc 1999Bipolar/BiC M OS Circuits and T echnology M eeting ,1998:136

[5] Razavi B.

A 32G H z 252mW C M OS phase 2lock loop.

IEEE

Sym posium on V LSI Circuits Digest of T echnical Papers ,1994:131

[6] Anand B A ,Razaiv B.A C M OS clock recovery circuit for 2152G b/s

NRZ data.IEEE J S olid 2S tate Circuits ,2001,36(3):432

[7] W ang Zhao ,Liu Fei ,Ji Lijiu.A novel dual 2path current 2m ode v oltage

controlled oscillator.Chinese Journal of Semiconductors ,2002,23(3):305(in Chinese )[王钊,刘飞,吉利久.新型双环路电流型压控

振荡器.半导体学报,2002,23(3):305]

[8] Hajimiri A ,Lee T H.G eneral theory of phase noise in electrical oscil 2

lators.IEEE J S olid 2S tate Circuits ,1998,33:179

[9] Razavi B.A study of phase noise in C M OS oscillators.IEEE J S olid 2

S tate Circuits ,1996,31:331

[10] Hajimiri A ,Lim otyrakis S ,Lee T H.Jitter and phase noise in ring os 2

cillators.IEEE J S olid 2S tate Ciruits ,1999,34(6):790

[11] Razavi B.Design of analog C M OS integrated circuits.New Y ork :M c 2

G raw 2H ill C om panies ,2000

091半 导 体 学 报第26卷

Design of 11GH z CMOS Ring VCO 3

Wang Xueyan ,Zhu En ,X iong Mingzhen ,and Wang Zhig ong

(Institute o f RF 2&OE 2IC s ,Southeast University ,Nanjing 210096,China )

Abstract :A full integrated high 2speed ring VC O is designed.The VC O consists of three stages ,and each consists of a fast path and a slow path whose output are summed and whose gains are adjusted by tail currents.The tail currents of fast and slow paths are controlled by the control

v oltage through current mirrors.The VC O is realized in 0118μm standard C M OS process.Measurements show that the operating frequency covers

10188~11172G Hz.The phase noise is -101dBc/H z @10MH z and jitter is about 318ps rm.The power consum ption is about 75mW at a supply v oltage of 118V.P otential applications include P LLs and frequency synthesizers.K ey w ords :VC O ;phase noise ;RFIC EEACC :1230B

Article I D :025324177(2005)0120187205

3Project supported by National H igh T echnology Research and Development Program of China (N o.2001AA312060)

 W ang Xueyan female ,was born in 1977,MS degree.She is engaged in the research on high 2speed integrated circuit of 102gigabit ethernet and S DH 264. Zhu En male ,profess or.He is engaged in the research on integrated circuit of ethernet and optical transmission system. Received 30December 2003,revised manuscript received 15July 2004

2005Chinese Institute of E lectronics

1

91第1期王雪艳等: 11G H z C M OS 环形压控振荡器设计

高频压控振荡器设计

前言 (1) 1高频压控振荡器设计原理压控振荡器 (2) 1.1工作原理 (2) 1.2变容二极管压控振荡器的基本工作原理 (2) 2高频压控振荡器电路设计 (4) 2.1设计的资料及设备 (4) 2.2变容二极管压控振荡器电路的设计思路 (4) 2.3变容二极管压控振荡器的电路设计 (4) 2.4实验电路的基本参数 (5) 2.5实验电路原理图 (6) 3高频压控振荡器电路的仿真 (7) 3.1M ULTISIM软件简介 (7) 3.2M ULTISIM界面介绍 (8) 3.2.1电路仿真图 (9) 3.2.2压控振荡器的主要技术指标 (9) 3.3典型点的频谱图 (9) 4高频压控振荡器电路实现与分析 (16) 4.1实验电路连接 (16) 4.2实验步骤 (16) 4.3实验注意事项 (18) 4.4硬件测试 (19) 5心得体会 (21) 参考文献 (22)

压控振荡器广泛应用于通信系统和其他电子系统中,在LC振荡器决定振荡器的LC 回路中,使用电压控制电容器(变容管),可以在一定的频率范围内构成电调谐振荡器。这种包含有压控元件作为频率控制器件的振荡器就称为压控振荡器。它广泛应用与频率调制器、锁相环路以及无线电发射机和接收机中。 压控振荡器是锁相环频率合成器的重要组成单元,在很大程度上决定了锁相环的性能。在多种射频工艺中,COMS工艺以高集成度、低成本得到广泛的应用。 压控振荡器(VCO)在无线系统和其他必须在一个范围的频率内进行调谐的通信系统中是十分常见的组成部分。许多厂商都提供VCO产品,他们的封装形式和性能水平也是多种多样。现代表面的贴装的射频集成电路(RFIC)VCO继承了近百来工程研究成果。在这段历史当中。VCO技术一直在不断地改进中,产品外形越来越小而相位噪声和调谐线性度越来越好。 对压控振荡器的技术要求主要有:频率稳定度好,控制灵敏度高,调频范围宽,频偏与控制电压成线性关系并宜于集成等。晶体压控振荡器的频率稳定度高,但调频范围窄;RC压控振荡器的频率稳定度低而调频范围宽,LC压控振荡器居二者之间。 压控振荡器可分为环路振荡器和LC振荡器。环路振荡器易于集成,但其相位噪声性能比LC振荡器差。为了使相位噪声满足通信标准的要求,这里对负阻RC压控振荡器进行了分析。

正弦波振荡器设计multisim(DOC)

摘要 自激式振荡器是在无需外加激励信号的情况下,能将直流电能转换成具有一定波形、一定频率和一定幅值的交变能量电路。正弦波振荡器的作用是产生频率稳定、幅度不变的正弦波输出。基于频率稳定、反馈系数、输出波形、起振等因素的综合考虑,本次课程设计采用电容三点式振荡器,运用multisim软件进行仿真。根据静态工作点计算出回路的电容电感取值,得出输出频率与输出幅度有效值以达到任务书的要求。 关键词:电容三点式;振荡器;multisim;

目录 1、绪论 (1) 2、方案的确定 (2) 3、工作原理、硬件电路的设计和参数的计算 (3) 3.1 反馈振荡器的原理和分析 (3) 3.2. 电容三点式振荡单元 (4) 3.3 电路连接及其参数计算 (5) 4、总体电路设计和仿真分析 (6) 4.1组建仿真电路 (6) 4.2仿真的振荡频率和幅度 (7) 4.3误差分析 (8) 5、心得体会 (9) 参考文献 (10) 附录 (10) 附录Ⅰ元器件清单 (10) 附录Ⅱ电路总图 (11)

1、绪论 振荡器是不需外信号激励、自身将直流电能转换为交流电能的装置。凡是可以完成这一目的的装置都可以作为振荡器。一个振荡器必须包括三部分:放大器、正反馈电路和选频网络。放大器能对振荡器输入端所加的输入信号予以放大使输出信号保持恒定的数值。正反馈电路保证向振荡器输入端提供的反馈信号是相位相同的,只有这样才能使振荡维持 下去。选频网络则只允许某个特定频率0f能通过,使振荡器产生单一频率的输出。 振荡器能不能振荡起来并维持稳定的输出是由以下两个条件决定的;一个是反馈电压 U和输入电压i U要相等,这是振幅平衡条件。二是f U和i U必须相位相同,这是相位f 平衡条件,也就是说必须保证是正反馈。一般情况下,振幅平衡条件往往容易做到,所以在判断一个振荡电路能否振荡,主要是看它的相位平衡条件是否成立。 本次课程设计我设计的是电容反馈三点式振荡器,电容三点式振荡器,也叫考毕兹振荡器,是自激振荡器的一种,这种电路的优点是输出波形好。电容三点式振荡器是由串联电容与电感回路及正反馈放大器组成。因振荡回路两串联电容的三个端点与振荡管三个管脚分别相接而得名。 本课题旨在根据已有的知识及搜集资料设计一个正弦波振荡器,要求根据给定参数设计电路,并利用multisim仿真软件进行仿真验证,达到任务书的指标要求,最后撰写课设报告。报告内容按照课设报告文档模版的要求进行,主要包括有关理论知识介绍,电路设计过程,仿真及结果分析等。 主要技术指标:输出频率9 MHz,输出幅度(有效值)≥5V。

(完整版)振荡电路大全

RC振荡器的几种接法 RC震荡的基本思想是正反馈加RC选频网络.RC选频网络之所以选出正弦波主要是因为电容的充电曲线. 这种振荡器特点是:T≈(1.4~2.3)R*C 电源波动将使频率不稳定,适合小于100KHz 的低频振荡情况。 2.加补偿电阻的RC振荡器 T≈(1.4~2.2)R*C,电源对频率的影响减小,频率稳定度可控制在5% 3.环行RC振荡器

4.采用TTL反相RC振荡器,频率可达50MHz 5.采用两三极管构成的RC振荡器,其中R5=R8,R7=R6,C5=C6

RC文氏电桥震荡器的计算说明 这个电路由RC串并网络构成选频网络,同时兼作正反馈电路以产生振荡,两个电阻和电容的数值各自相等。负反馈电路中有两个二极管,它们的作用是稳定输出信号的幅度。也可以采用其他的非线形元件来自动调节反馈的强度,以稳定振幅,如:热敏电阻、场效应管等。 该电路输出波形较好,缺点是频率调节比较困难。

RC文氏电桥振荡电路 RC文氏电桥振荡器的电路如图1所示,RC串并联网络是正反馈网络,由运算放大器、R3和R4负反馈网络构成放大电路。 图1 RC文氏电桥振荡器 C 1R 1 和C2R2支路是正反馈网络,R3R4支路是负反馈网络。C1R1、C2R2、R3、R4正 好构成一个桥路,称为文氏桥。 RC串并联选频网络的选频特性 RC串并联网络的电路如图2所示。RC串联臂的阻抗用Z 1 表示,RC并联臂的 阻抗用Z 2 表示。 图2 RC串并联网络 RC串并联网络的传递函数为

式(1) ………………. 当输入端的电压和电流同相时,电路产生谐振,也就是式(1)是实数,虚部为0。令式(1)的虚部为0,即可求出谐振频率。 谐振频率 对于文氏RC振荡电路,一般都取R=R1 = R2,C=C1 = C2时,于是谐振角频率: 频率特性 幅频特性 相频特性 文氏RC振荡电路正反馈网络传递函数的幅度频率特性曲线和相位频率特性曲线如图3所示。 (a) 幅频特性曲线 (b) 相频特性曲线 图3 RC串并联网络的频率响应特性曲线

环形振荡器

环形振荡器 设计要求: 设计一环形振荡器,频率在120KHz 左右,尽量降低振荡频率和电源电压的相关性。 设计: 环形振荡器是有奇数个反相器构成的环形回路。电路如下图所示: 本设计中,由于振荡频率要求在120KHz 的低频,根据提供的工艺,寄生电容和电阻都很小,要实现如此之低的振荡频率需要非常多的反相器串联,电路冗长庞大。所以采用需要外加阻容元件降低工作频率。电路如下图所示。 反相器内部电路: 本设计要求尽量降低振荡频率和电源电压的相关性。造成这个相关性的原因主要来自电路的寄生电阻电容: 1. 对管的输出电阻Rn 或Rp 。 2 ()2n n THN VDD R KP W VDD V L =-可见VDD 越大,此电阻越小,振荡频率越高。 2. 寄生电容Cgd ,Cgs 。这两个参数对电源的相关性较小,但是也受一定的影响。 可见, 要有效降低振荡频率和电源电压的相关性,可采用外部的远大于寄生参数的元件来吸收寄生参数以达到目的。经分析,电路受电源影响较大的是对管的输出电阻Rn 或Rp, 它们的阻值大约为几千欧,这里,把外部的电阻取在400K 可以有效地降低相关性。根据振荡频率120KHz ,计算出τ=0.00833ms ,每一级的平均时延为/3τ=0.00278ms ,需要的电容

大小为3C R τ ==6.94pF 。这里设计的反相器输出端本身就有800fF 的电容,再考虑到寄生 电阻,电容,这里将外接电容的值取为5.5pF 。 Spice 网表文件: * Waveform probing commands .probe .options probefilename="ring_my1.dat" + probesdbfile="E:\Program Files\Tanner EDA\S-Edit\tutorial\schematic\ring_my1.sdb" + probetopmodule="ring_my1" .lib "E:\Gspice\HSPICE2002\H06MIXDDCT10V02.LIB" tt .lib "E:\Gspice\HSPICE2002\H06MIXDDCT10V02.LIB" resistor .lib "E:\Gspice\HSPICE2002\H06MIXDDCT10V02.LIB" bjt .SUBCKT inv in out Gnd Vdd c2 out Gnd 800ff m1p out in Vdd Vdd pmos L=5u W=12u mn1 out in Gnd Gnd nmos L=5u W=8u .ENDS * Main circuit: ring_my1 C1 N3 Gnd 5.5pF C2 N2 Gnd 5.5pF C3 a7 Gnd 5.5pF Xinv7 a7 OUT Gnd Vdd inv Xinv_1 N3 N5 Gnd Vdd inv Xinv_2 N2 N1 Gnd Vdd inv .print tran OUT R4 N2 OUT 400K TC=0.0, 0.0 R5 N1 N3 400K TC=0.0, 0.0 R6 N5 a7 400K TC=0.0, 0.0 .tran 50n 14000000n start=800000n VCC Vdd GND PWL (0 5 8000000n 4.5 9000000n 4 10000000n 3.5 11000000n 3 12000000n 2.5 13000000n 2) * End of main circuit: ring_my1 这里用的仿真软件是Tanner 系列的T-Spice 。 仿真:

RC正弦波振荡器电路设计及仿真

《电子设计基础》 课程报告 设计题目: RC正弦波振荡器电路设计及仿真学生班级: 学生学号: 学生姓名: 指导教师: 时间: 成绩: 西南xx大学 信息工程学院

一.设计题目及要求 RC正弦波振荡器电路设计及仿真,要求: (1)设计完成RC正弦波振荡器电路; (2)仿真出波形,并通过理论分析计算得出频率。 二.题目分析与方案选择 在通电瞬间电路中瞬间会产生变化的信号且幅值频率都不一样,它们同时进入放大网络被放大,其中必定有我们需要的信号,于是在选频网络的参与下将这个信号谐振出来,进一步送入放大网络被放大,为了防止输出幅值过大所以在电路中还有稳幅网络(如图一中的两个二极管),之后再次通过选频网络送回输入端,经过多次放大稳定的信号就可以不断循环了,由于电路中电容的存在所以高频阻抗很小,即无法实现放大,且高频在放大器中放大倍数较小。 三.主要元器件介绍 10nf电容两个;15kΩ电阻一个;10kΩ电阻三个;滑动变阻器一个;2.2k Ω电阻一个;二极管两个;运算放大器;示波器 四.电路设计及计算 电路震荡频率计算: f=1/2πRC

起振的复制条件:R f/R i>=2 其中R f=R w+R2+R3/R d 由其电路元件特性 R=10KΩ C=10nF 电路产生自激震荡,微弱的信号1/RC 经过放大,通过反馈的选频网络,使输出越来越大,最后经过电路中非线性器件的限制,使震荡幅度稳定了下来,刚开始时A v=1+R f/R i >3。 平衡时A v=3,F v=1/3(w=w0=1/RC) 五.仿真及结果分析 在multisim中进行仿真,先如图一连接好电路,运行电路,双击示波器,产生波形如下图 图2 刚开始运行电路时,输出波形如图2,几乎与X轴平行,没有波形输出。

(完整)高频课程设计_LC振荡器_西勒

高频电子线路课程设计报告设计题目:LC正弦波振荡器的设计 2014年1月10日

目录 一、设计任务与要求 (1) 二、设计方案 (1) 2.1电感反馈式三端振荡器 (1) 2.2电容反馈式三端振荡器 (2) 2.3克拉波电路振荡器 (3) 2.4西勒电路振荡器 (4) 三、设计内容 (5) 3.1LC振荡器的基本工作原理................................................ . (5) 3.2西勒电路原理图及分析 (6) 3.2.1振荡原理 (7) 3.2.2静态工作点的设置 (7) 3.3西勒振荡器原理图 (8) 3.4 仿真结果与分析 (8) 3.4.1软件简介 (8) 3.4.2进行仿真 (9) 3.4.3仿真结果分析 (11) 四、总结 (11) 五、主要参考文献 (13)

一、设计任务与要求 在本课程设计中,为了熟悉《高频电子线路》课程,着眼于LC正弦波振荡器的分析和研究。通过对电感反馈式三端振荡器(哈特莱振荡器)、电容反馈式三端振荡器(考毕兹振荡器)以及改进型电容反馈式振荡器(克拉波电路和西勒电路)的分析、对比和讨论,以达到课程设计的目的和要求。在课程设计中,为了学习Multisim软件的使用,以及锻炼电子仿真的能力,我选用的仿真软件是Multisim11.0版本,该软件提供了功能强大的电子仿真设计界面和方便的电路图和文件管理功能。 本课程设计中要求设计的正弦波振荡器能够输出稳定正弦波信号,输出频率可调范围为10~20MHz。本设计中所涉及的仿真电路是比较简单的。但通过仿真得到的结论在实际的类似电路中有很普遍的意义。 二、设计方案 通过对高频电子线路相关知识的学习,我们知道LC正弦波振荡器主要有电感反馈式三端振荡器、电容反馈式三端振荡器以及改进型电容反馈式振荡器(克拉波电路和西勒电路)等。其中互感反馈易于起振,但稳定性差,适用于低频,而电容反馈三点式振荡器稳定性好,输出波形理想,振荡频率可以做得较高。由所学知识可知,西勒电路具有该电路频率稳定性非常高,振幅稳定,频率调节方便,适合做波段振荡器等优点。所以在本设计中拟采用并联改进型的西勒电路振荡器。 下面对几种振荡器进行分析论证: 2.1电感反馈式三端振荡器 电感三点式振荡器又称哈特莱振荡器,其原理电路如图所示:

压控振荡器的设计与仿真.

目录 1 引言 (2) 2 振荡器的原理 (5) 2.1 振荡器的功能、分类与参数 (5) 2.2 起振条件 (9) 2.3 压控振荡器的数学模型 (10) 3 利用ADS仿真与分析 (11) 3.1 偏置电路的的设计 (12) 3.2 可变电容VC特性曲线测试 (13) 3.3 压控振荡器的设计 (15) 3.4 压控振荡器相位噪声分析 (18) 3.5 VCO振荡频率线性度分析 (23) 4 结论 (24) 致谢 (25) 参考文献 (25)

压控振荡器的设计与仿真 Advanced Design System客户端软件设计 电子信息工程(非师范类)专业 指导教师 摘要:ADS可以进行时域电路仿真,频域电路仿真以及数字信号处理仿真设计,并可对设计结果进行成品率分析与优化,大大提高了复杂电路的设计效率。本论文运用ADS仿真软件对压控振荡器进行仿真设计,设计出满足设计目标的系统,具有良好的输出功率,相位噪声性能及震荡频谱线性度。本论文从器件选型开始,通过ADS软件仿真完成了有源器件选型,带通滤波器选型,振荡器拓扑结构确定,可变电容VC特性曲线,瞬态仿真及谐波平衡仿真。实现了准确可行的射频压控振荡器的计算机辅助设计。关键字:压控振荡器,谐波平衡仿真,ADS 1 引言 振荡器自其诞生以来就一直在通信、电子、航海航空航天及医学等领域扮演重要的角色,具有广泛的用途。在无线电技术发展的初期,它就在发射机中用来产生高频载波电压,在超外差接收机中用作本机振荡器,成为发射和接收设备的基本部件。随着电子技术的迅速发展,振荡器的用途也越来越广泛,例如在无线电测量仪器中,它产生各种频段的正弦信号电压:在热加工、热处理、超声波加工和某些医疗设备中,它产生大功率的高频电能对负载加热;某些电气设备用振荡器做成的无触点开关进行控制;电子钟和电子手表中采用频率稳定度很高的振荡电路作为定时部件等。尤其在通信系统电路中,压控振荡器(VCO)是其关键部件,特别是在锁相环电路、时钟恢复电路和频率综合器电路等更是重中之重,可以毫不夸张地说在电子通信技术领域,VCO几乎与电流源和运放具有同等重要地位。 人们对振荡器的研究未曾停止过。从早期的真空管时代当后期的晶体管时代,无论是理论上还是电路结构和性能上,无论是体积上还是制作成本上无疑都取得了飞跃性的

RC正弦波振荡器设计实验

综合设计 正弦波振荡器的设计与测试 一.实验目的 1. 掌握运用Multisim 设计RC 振荡电路的设计方法 2. 掌握RC 正弦波振荡器的电路结构及其工作原理 3. 熟悉RC 正弦波振荡器的调试方法 4. 观察RC 参数对振荡器的影响,学习振荡器频率的测定方法 二.实验原理 在正弦波振荡电路中,一要反馈信号能够取代输入信号,即电路中必须引入正反馈;二要有外加 的选频网络,用以确定振荡频率。正弦波振荡的平衡条件为:.. 1AF = 起振条件为.. ||1A F > 写成模与相角的形式:.. ||1A F = 2A F n πψ+ψ=(n 为整数) 电路如图1所示: 1. 电路分析 RC 桥式振荡电路由RC 串并联选频网络和同相放大电路组成,图中RC 选频网络形成正反馈电路, 决定振荡频率0f 。1R 、f R 形成负反馈回路,决定起振的幅值条件,1D 、2D 是稳幅元件。 该电路的振荡频率 : 0f =RC π21 ① 起振幅值条件:311 ≥+ =R R A f v ② 式中 d f r R R R //32+= ,d r 为二极管的正向动态电阻 2. 电路参数确定 (1) 根据设计所要求的振荡频率0f ,由式①先确定RC 之积,即 RC= 21f π ③ 为了使选频网络的选频特性尽量不受集成运算放大器的输入电阻i R 和输出电阻o R 的影响,应使

R 满足下列关系式:i R >>R>>o R 一般i R 约为几百千欧以上,而o R 仅为几百欧以下,初步选定R 之后,由式③算出电容C 的值,然后再算出R 取值能否满足振荡频率的要求 (2) 确定1R 、f R :电阻1R 、f R 由起振的幅值条件来确定,由式②可知f R ≥21R , 通常 取f R =(2.1~2.5)1R ,这样既能保证起振,也不致产生严重的波形失真。此外,为了减小输入失调电流和漂移的影响,电路还应满足直流平衡条件,即: R=1R //f R (3) 确定稳幅电路:通常的稳幅方法是利用v A 随输出电压振幅上升而下降的自动调节作用实 现稳幅。图1中稳幅电路由两只正反向并联的二极管1D 、2D 和电阻3R 并联组成,利用二极管正向动态电阻的非线性以实现稳幅,为了减小因二极管特性的非线性而引起的波形失真,在二极管两端并联小电阻3R 。实验证明,取3R ≈d r 时,效果最佳。 三.实验任务 1.预习要求 (1) 复习RC 正弦波振荡电路的工作原理。 (2) 掌握RC 桥式振荡电路参数的确定方法 2. 设计任务 设计一个RC 正弦波振荡电路。其正弦波输出要求: (1) 振荡频率:接近500Hz 或1kHz 左右,振幅稳定,波形对称,无明显非线性失真 (2)* 振荡频率:50Hz~1kHz 可调,其余同(1) 四.实验报告要求 1. 简述电路的工作原理和主要元件的作用 2. 电路参数的确定 3. 整理实验数据,并与理论值比较,分析误差产生的原因 4. 调试中所遇到的问题以及解决方法 五.思考题 1. 在RC 桥式振荡电路中,若电路不能起振,应调整哪个参数?若输出波形失真应如何调整? 2. 简述图-1中21D D 和的稳幅过程。 六.仪器与器件 仪器: 同实验2 单管 器件: 集成运算放大器μA741 二极管 1N4001 电阻 瓷片电容 若干

高频课程设计_LC振荡器_克拉泼.(DOC)

高频电子线路课程设计报告设计题目:高频正弦信号发生器 2015年 1月 6 日

目录 一、设计任务与要求 (1) 二、设计方案 (1) 2.1电感反馈式三端振荡器 (2) 2.2电容反馈式三端振荡器 (2) 2.3克拉波电路振荡器 (6) 三、设计内容 (8) 3.1LC振荡器的基本工作原理 (8) 3.2克拉泼电路原理图 (9) 3.2.1振荡原理 (9) 3.3克拉泼振荡器仿真 (10) 3.4.1软件简介 (10) 3.4.2进行仿真 (10) 3.4.3电容参数改变对波形的影响 (11) 四、总结 (17) 五、主要参考文献 (18) 六、附录.................................................................................... .. (18)

一、设计任务与要求 为了熟悉《高频电子线路》课程中所学到的知识,在本课程设计中,我和队友(石鹏涛、甘文鹏)对LC正弦波振荡器进行了分析和研究。通过对几种常见的振荡器(电感反馈式三端振荡器、电容反馈式三端振荡器、改进型电容反馈式振荡器)进行分析论证,我们最终选择了克拉泼振荡器。 在本次课程设计中,设计要求产生10~20Mhz的振荡频率。振荡器的种类很多,适用的范围也不相同,但它们的基本原理都是相同的,都由放大器和选频网络组成,都要满足起振,平衡和稳定条件。然后通过所学的高频知识进行初步设计,由于受实践条件的限制,在设计好后,我利用了模拟软件进行了仿真与分析。为了学习Multisim软件的使用,以及锻炼电子仿真的能力,我们选用的仿真软件是Multisim11.0版本,该软件提供了功能强大的电子仿真设计界面和方便的电路图和文件管理功能。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。NI Multisim软件结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。 最后我们利用了仿真软件对电路进行了一写的仿真分析,如改变电容的参数,分析对电路产生的影响等,再考虑输出频率和振幅的稳定性,得到了与理论值比较相近的结果,这表明电路的原理设计是比较成功的,本次课程设计也是比较成功的。 二:设计方案 通过学习高频电子线路的相关知识,我们知道LC正弦波振荡器主要有电感反馈式三端振荡器、电容反馈式三端振荡器以及改进型电容反馈式振荡器(克拉波电路)等。通过老师所讲和查阅相关资料可知,克拉泼振荡电路具有该电路频率稳定性非常高,振幅稳定,适合做波段振荡器等优点。所以在本设计中拟采用改进型电容反馈式--克拉泼电路振荡器。 下面对几种振荡器进行分析论证: 2.1电感反馈式三端振荡器

环形振荡器版图设计

实验三:环形振荡器版图设计 一、实验目的 1、使用现有的布局实例创建新的布局; 2、仿真提取版图; 二、实验要求 1、打印出DRC报告; 2、输出CMOS环形振荡器的后置仿真结果,包括瞬态响应、振荡频率和平均功率。 三、实验工具 Virtuoso 四、实验内容 1、创建CMOS环形振荡器电路原理图; 2、创建CMOS环形振荡器的版图; 3、后仿真(Post-layout simulation,PLS)。

1、创建CMOS环形振荡器的电路原理图 在library manager界面选中lab1(自己创建的库),并点击菜单栏上的file->new->cell view,创建CMOS环形振荡器的电路原理图。 图1 CMOS环形振荡器电路原理图的创建 因为CMOS环形振荡器是由几个CMOS反相器组成的,在前面两个实验中已经创建好了CMOS反相器的电路原理图,所以可以直接调用CMOS反相器,在schematic editing窗口利用快捷键’i’打开添加实例窗口,选择之前所创建的CMOS反相器,如图2所示,连续放置5个。 图2 添加CMOS反相器 将5个CMOS反相器一次首尾相连,接着创建一个输出引脚,放置在最后一个CMOS反相器后,并通过wire将它们连接起来,具体如图3所示:

图3 CMOS环形振荡器电路原理图 2、创建CMOS环形振荡器的版图 与创建CMOS反相器的版图类似,也是在library manager窗口先选中lab1,在选择file->new->cell view,在弹出的窗口中输入环形振荡器的信息如图4所示: 图4 CMOS环形振荡器版图的创建 在layout editing中添加5个CMOS反相器,并将它们摆放在一起,中间的金属正好相接,如图5所示: 图5 CMOS环形振荡器版图

压控振荡器原理和应用说明

压控振荡器(VCO 一应用范围 用于各种发射机载波源、扩频通讯载波源或作为混频器本振源。 二基本工作原理 利用变容管结电容Cj 随反向偏置电压VT 变化而变化的特点(VT=OV 时Cj 是最大值,一 般变容管VT 落在2V-8V 压间,Cj 呈线性变化,VT 在8-10V 则一般为非线性变化,如图1 所示,VT 在10-20V 时,非线性十分明显),结合低噪声振荡电路设计制作成为振荡器,当 改变变容管的控制电压,振荡器振荡频率随之改变,这样的振荡器称作压控振荡器(VCO 。 压控振荡器的调谐电压 VT 要针对所要求的产品类别及典型应用环境(例如用户提供调谐要 求,在锁相环使用中泵源提供的输出控制电压范围等 )来选择或设计,不同的压控振荡器, 对调谐电压VT 有不同的要求,一般而言,对调谐线性有较高要求者, VT 选在1-10V ,对宽 频带调谐时,VT 则多选择1-20V 或1-24V 。图1为变容二极管的V — C 特性曲线。 图1变容二极管的V — C 特性曲线 三压控振荡器的基本参数 1工作频率:规定调谐电压范围内的频率范围称作工作频率,通常单位为“ MHZ 或 “GHz 。 2输出功率:在工作频段内输出功率标称值,用 Po 表示。通常单位为“ dBmW 。 3输出功率平稳度:指在输出振荡频率范围内,功率波动最大值,用△ P 表示,通常 单位为“ dBmW 。 4调谐灵敏度:定义为调谐电压每变化1V 时,引起振荡频率的变化量,用 MHz/ △ VT 表示,在线性区,灵敏度最咼,在非线性区灵敏度降低。 5谐波抑制:定义在测试频点,二次谐波抑制 =10Log (P 基波/P 谐波)(dBmw )。 6推频系数:定义为供电电压每变化1V 时,引起的测试频点振荡频率的变化量,用 MHz/V 表 示。 7相位噪声:可以表述为,由于寄生寄相引起的杂散噪声频谱,在偏移主振 f0为fm 的带内,各杂散能量的总和按fin 平均值+15f0点频谱能量之比,单位为dBC/Hz 相位噪 声特点是频谱能量集中在f0附近,因此fm 越小,相噪测量值就越大,目前测量相噪选定 WV) 0 8 10

实训报告正弦波振荡器设计multisim

实训报告正弦波振荡器设计multisim

高频电路(实训)报告 项目:正弦波振荡器仿真设计班级:级应电2班 姓名:周杰 学号: 14052 2 摘要

自激式振荡器是在无需外加激励信号的情况下,能将直流电能转换成具有一定波形、一定频率和一定幅值的交变能量电路。正弦波振荡器的作用是产生频率稳定、幅度不变的正弦波输出。基于频率稳定、反馈系数、输出波形、起振等因素的综合考虑,本次课程设计采用电容三点式振荡器,运用multisim软件进行仿真。根据静态工作点计算出回路的电容电感取值,得出输出频率与输出幅度有效值以达到任务书的要求。 关键词:电容三点式;振荡器;multisim;

目录 1、绪论.................................................................................... 错误!未定义书签。 2、方案的确定 ........................................................................ 错误!未定义书签。 3、工作原理、硬件电路的设计和参数的计算 ..................... 错误!未定义书签。 3.1 反馈振荡器的原理和分析.............................................. 错误!未定义书签。 3.2. 电容三点式振荡单元 .................................................... 错误!未定义书签。 3.3 电路连接及其参数计算 ................................................. 错误!未定义书签。 4、总体电路设计和仿真分析................................................. 错误!未定义书签。 4.1组建仿真电路................................................................. 错误!未定义书签。 4.2仿真的振荡频率和幅度 ................................................. 错误!未定义书签。 5、参数调整对比/结论........................................................... 错误!未定义书签。附录.......................................................................................... 错误!未定义书签。附录Ⅰ元器件清单 .................................................................. 错误!未定义书签。附录Ⅱ电路总图 ...................................................................... 错误!未定义书签。

电容三点式震荡电路

摘要 弦波振荡器的作用是产生频率稳定、幅度不变的正弦波输出。基于频率稳定度、反馈系数、输出波形、起振等因素的综合考虑,本设计采用的是电容三点式振荡器的两种改进型振荡器之一的西勒振荡器。其具有输出波形好、工作频率高、改变电容调节频率时不影响反馈系数等优点,适用于宽波段、频率可调的场合。西勒振荡器由起能量控制作用的放大器、将输出信号送回到输入端的正反馈网络以及决定振荡频率的选频网络组成。但没有输入激励信号,而是由本身的正反馈信号来代替。当振荡器接通电源后,即开始有瞬变电流产生,经不断地对它进行放大、选频、反馈、再放大等多次循环,最终形成自激振荡,把输出信号的一部分再回送到输入端做输入信号,从而就会产生一定频率的正弦波信号输出。西勒振荡器广泛应用于各种电子设备中,特别是在通信系统中起着重要作用。它是无线电发送设备的心脏部分,也是超外差式接收机的主要部分;各种电子测试仪器如信号发生器、数字式频率计等,其核心部分都离不开正弦波振荡器;并在自动控制装置和医疗设备等许多技术领域也得到了广泛的应用 关键词:电容三点式、西勒电路、mulsitis

1 设计原理 1.1电路选取 不需外加输入信号,便能自行产生输出信号的电路称为振荡器。按照产生的波形,振荡器可以分为正弦波振荡器和非正弦波振荡器。按照产生振荡的工作原理,振荡器分为反馈式振荡器和负阻式振荡器。所谓反馈式振荡器,就是利用正反馈原理构成的振荡器,是目前用的最广泛的一类振荡器。所谓负阻式振荡器,就是利用正反馈有负阻特性的器件构成的振荡器,在这种电路中,负阻所起的作用,是将振荡器回路的正阻抵消以维持等幅振荡。反馈式振荡电路,有变压器反馈式振荡电路,电感三点式振荡电路,电容三点式振荡电路和石英晶体振荡电路等。本次设计我们采用的是电容三点式振荡电路,有与电容三点式振荡电路有一些缺陷,通过改进,得到了西勒振荡器。 1.2 电容三点式振荡器 电容三点式振荡器的基本电路如图1-3所示 图1-1电容三点式振荡器 由图可见:与发射极连接的两个电抗元件为同性质的容抗元件C 1和C 2 ;与基极和集电极 连接的为异性质的电抗元件L,根据前面所述的判别准则,该电路满足相位条件。 其工作过程是:振荡器接通电源后,由于电路中的电流从无到有变化,将产生脉动信号,因任一脉冲信号包含有许多不同频率的谐波,因振荡器电路中有一个LC谐振回路,具有选频作用,当LC谐振回路的固有频率与某一谐波频率相等时,电路产生谐振。虽然脉动的信号很微小,通过电路放大及正反馈使振荡幅度不断增大。当增大到一定程度时,导致晶体管进入非线性区域,产生自给偏压,使放大器的放大倍数减小,最后达到平衡,即AF=1,振荡幅度就不再增大了。于是使振荡器只有在某一频率时才能满足振荡条件,于是得到单一频率的振 荡信号输出。该振荡器的振荡频率o f为:

压控LC电容三点式振荡器设计及仿真

实验二压控LC 电容三点式振荡器设计及仿真 一、实验目的 1、了解和掌握LC 电容三点式振荡器电路组成和工作原理。 2、了解和掌握压控振荡器电路原理。 3、理解电路元件参数对性能指标的影响。 4、熟悉电路分析软件的使用。 二、实验准备 1、学习LC 电容三点式西勒振荡器电路组成和工作原理。 2、学习压控振荡器的工作原理。 3、认真学习附录相关内容,熟悉电路分析软件的基本使用方法。 三、设计要求及主要指标 1、采用电容三点式西勒振荡回路,实现振荡器正常起振,平稳振荡。 2、实现电压控制振荡器频率变化。 3、分析静态工作点,振荡回路各参数影响,变容二极管参数。 4、振荡频率范围:50MHz~70MHz,控制电压范围3~10V。 5、三极管选用MPSH10(特征频率最小为650MHz,最大IC 电流50mA,可 满足频率范围要求),直流电压源12V,变容二极管选用MV209。 四、设计步骤 1、整体电路的设计框图

整个设计分三个部分,主体为LC 振荡电路,在此电路基础上添加压控部分,设计中采用变容二极管MV209 来控制振荡器频率,由于负载会对振荡电路的 频 率产生影响,所以需要添加缓冲器隔离以使振荡电路不受负载影响。 2、LC 振荡器设计 首先应选取满足设计要求的放大管,本设计中采用MPSH10 三极管,其特征频率f T=1000MHz。LC 振荡器的连接方式有很多,但其原理基本一致,本实验中采用电容三点式西勒振荡电路的连接方式,该振荡电路在克拉泼振荡电路的基础上进行了细微的改良,增加了一个与电感L 并联的电容,主要利用其改变频率而不对振荡回路的分压比产生影响的特点。电路图如下所示:

高频课程设计_LC振荡器_西勒

高频电子线路课程设计报告设计题目: LC正弦波振荡器的设计 2014

目录 一、设计任务与要求 (1) 二、设计方案 (1) 2.1电感反馈式三端振荡器 (1) 2.2电容反馈式三端振荡器 (2) 2.3克拉波电路振荡器 (3) 2.4西勒电路振荡器 (4) 三、设计容 (5) 3.1LC振荡器的基本工作原理................................................ . (5) 3.2西勒电路原理图及分析 (6) 3.2.1振荡原理 (7) 3.2.2静态工作点的设置 (7) 3.3西勒振荡器原理图 (8) 3.4 仿真结果与分析 (8) 3.4.1软件简介 (8) 3.4.2进行仿真 (9) 3.4.3仿真结果分析 (11) 四、总结 (11) 五、主要参考文献 (13)

一、设计任务与要求 在本课程设计中,为了熟悉《高频电子线路》课程,着眼于LC正弦波振荡器的分析和研究。通过对电感反馈式三端振荡器(哈特莱振荡器)、电容反馈式三端振荡器(考毕兹振荡器)以及改进型电容反馈式振荡器(克拉波电路和西勒电路)的分析、对比和讨论,以达到课程设计的目的和要求。在课程设计中,为了学习Multisim软件的使用,以及锻炼电子仿真的能力,我选用的仿真软件是Multisim11.0版本,该软件提供了功能强大的电子仿真设计界面和方便的电路图和文件管理功能。 本课程设计中要求设计的正弦波振荡器能够输出稳定正弦波信号,输出频率可调围为10~20MHz。本设计中所涉及的仿真电路是比较简单的。但通过仿真得到的结论在实际的类似电路中有很普遍的意义。 二、设计方案 通过对高频电子线路相关知识的学习,我们知道LC正弦波振荡器主要有电感反馈式三端振荡器、电容反馈式三端振荡器以及改进型电容反馈式振荡器(克拉波电路和西勒电路)等。其中互感反馈易于起振,但稳定性差,适用于低频,而电容反馈三点式振荡器稳定性好,输出波形理想,振荡频率可以做得较高。由所学知识可知,西勒电路具有该电路频率稳定性非常高,振幅稳定,频率调节方便,适合做波段振荡器等优点。所以在本设计中拟采用并联改进型的西勒电路振荡器。 下面对几种振荡器进行分析论证: 2.1电感反馈式三端振荡器 电感三点式振荡器又称哈特莱振荡器,其原理电路如图所示:

环形振荡器

集成电路设计实践报告 题目:基于Cadence的反相器设计 班级: 学号: 姓名: 1.关于Cadence EDA软件

Cadence EDA软件是当前在各类工作站上广泛使用的一种功能最为完备的电子设计自动化辅助工具,其布局/布线工具与电路仿真工具的性能超群,世界上绝大多数IC生产厂商都可以直接接收由它们生成的IC版图和仿真结果。 对于全定制的设计,首先应输入电路原理图,然后对其要完成的功能进行仿真,以便对设计功能进行验证并对设计参数进行优化。仿真结束后,进行电路的IC版图设计,设计完成后要进行版图的设计规则检查和设计参数的提取,以检查版图设计是否符合工艺要求。完成了版图的设计后,还要将版图电路与原理图电路进行对比,即LVS(Layout Versus Schematic)。确定无误后,用从版图中提取的包括各种寄生参数在内的数据进行所谓的后仿真(Post Simulation),该后仿真能够比较好地反映IC制造完成后电路的实际工作情况。一旦仿真结果满足设计要求,就可以将版图数据提交给生产厂商进行流片生产。 2.反相器设计 2.1实验目的 1、掌握用Composer绘制倒相器的电路图; 2、掌握用Analog Artist进行倒相器的电路仿真。 3、通过Vrtuoso工具进行倒相器的版图设计,尺寸按照要求绘制; 4、对倒相器的版图进行DRC、ERC、LVS验证。 2.2实验步骤 2.2.1反相器原理图的绘制 1 在终端提示符下,键入icfb&,启动Cadence EDA软件。 2 在弹出的Library Manager窗口中执行File->New->Library,将会弹出如下图所示的窗口,在Name栏中输入设计库的名字,然后还需要为设计选择一个已经存在的工艺库。具体做法是点击Attach to existing tech library前面的按钮,然后选择相应的工艺文件,当然在进行电路设计及仿真时也可以不选定工艺文件,最后点击OK。 3、在Library Manager窗口中先选择刚才新建立的库,再在菜单文件选项中选择执行File->New->Cell View选择工具栏中的“添加元件”,弹出添加元件的窗口,点击Add Instance窗口中的Browse,会弹出Component Browser窗口,选定Library为analogLib,并使得Flatten的复选框选中,一些常用的元器件就在Analoglib库中列出来了。

高频正弦波振荡器地设计

农林大学学院 课程设计报告 课程名称:数字信号处理课程设计 课程设计题目:高频正弦波振荡器设计与仿真姓名: 系:计算机系 专业:电子信息工程 年级: 学号: 指导教师: 职称: 2015年12月30日

高频正弦波振荡器的设计 目录 目录 (1) 摘要: (2) 一、设计要求 (3) 二、总体方案设计 (3) 三、工作原理说明 (3) 1、振荡器概念 (3) 2、静态工作点的确定 (4) 3、振荡器的起振检查 (4) 4、高频功率放大器 (5) 5、电路设计原理框图如图1所示。 (5) 四、电路设计 (6) 1、正弦波振荡器的设计 (6) 2、高频功率放大器的设计 (9) 五、性能的测试 (11) 1振荡器振荡频率为2MHz (11) 2振荡器振荡频率为4MHz (11) 3高频功率放大器电路 (12) 4输出功率 (13) 六、结论、性价比 (13) 七、课设体会及合理化建议 (14) 八、参考文献 (14)

摘要: 本次课程设计通过对课本知识的运用,简单介绍了高频正弦波振荡器的设计方法,主要应用LC振荡电路产生正弦波,再经高频功率放大器进行功率放大,并用仿真软件进行仿真,以及对其性能进行测试,经过反复的调试最终得到满足课题要求的电路。 关键词:正弦波;振荡器;高频功率放大器。

一、设计要求 设计要求: 1. 选择合适的高频正弦波振荡器形式; 2. 从理论上分析振荡器的各个参数及起振条件; 3. 设计高频振荡器,选取电路各元件参数,使其满足起振条件及振幅条件。 主要技术指标:电源电压12V,工作频率2M-4MHz,输出电压1V,频率稳定度较高。 二、总体方案设计 该课程设计主要涉及了振荡器的相关容还有高频功率放大器的容,正弦波振荡器非常具有实用价值,通过该课题的研究,可以加深对振荡器以及丙类高频功率放大器的了解。 三、工作原理说明 1、振荡器概念 振荡器主要分为RC,LC振荡器和晶体振荡器。其中电容器和电感器组成的LC回路,通过电场能和磁场能的相互转换产程自由振荡。要维持振荡还要有具有正反馈的放大电路,LC振荡器又分为变压器耦合式和三点式振荡器,现在很多应用石英晶体的石英晶体振荡器,还有用集成运放组成的LC振荡器。 振荡器的作用主要是将直流电变交流电.它有很多用途.在无线电广播和通信设备中产生电磁波.在微机中产生时钟信号.在稳压电路中产生高频交流电.。 题目要求产生高频正弦波,所以选用电容三点式电路,进一步考虑从而选用并联改进型电容三点式振荡器(西勒电路),因为它具有输出波形不易失

高频电子线路课程设计-电容三点式LC振荡器的设计与制作

高频课设实验报告 实验项目电容三点式LC振荡器的设计与制作系别 专业 班级/学号 学生姓名 实验日期 成绩 指导教师

电容三点式 LC 振荡器的设计与制作 一、实验目的 1.了解电子元器件和高频电子线路实验系统。 2.掌握电容三点式LC 振荡电路的实验原理。 3.掌握静态工作点、耦合电容、反馈系数、等效Q 值对振荡器振荡幅度和频率的影响4.了解负载变化对振荡器振荡幅度的影响。 二、实验电路实验原理 1.概述 2.L C振荡器的起振条件 一个振荡器能否起振,主要取决于振荡电路自激振荡的两个基本条件,即:振幅起振平衡条件和相位平衡条件。 3.LC振荡器的频率稳定度 频率稳定度表示:在一定时间或一定温度、电压等变化范围内振荡频率的相对变化程度,常用表达式:△f0/f0来表示(f0为所选择的测试频率:△f0为振荡频率的频率误差,Δf0=f02 -f01:f02和f01为不同时刻的f0),频率相对变化量越小,表明振荡频率的稳定度越高。由于振荡回路的元件是决定频率的主要因素,所以要提高频率稳定度,就要设法提高振荡回路的标准性,除了采用高稳定和高 Q 值的回路电容和电感外,其振荡管可以采用部分接入,以减小晶体管极间电容和分布电容对振荡回路的影响,还可采用负温度系数元件实现温度补偿。 4.LC振荡器的调整和参数选择 以实验采用改进型电容三点振荡电路(西勒电路)为例,交流等效电路如图1-1 所示。 (1)静态工作点的调整 合理选择振荡管的静态工作点,对振荡器工作的稳定性及波形的好坏有一定的影响。偏置电路一般采用分压式电路。当振荡器稳定工作时,振荡管工作在非线性

状态,通常是依靠晶体管本身的非线性实现稳幅。若选择晶体管进入饱和区来实现稳幅,则将使振荡回路的等效 Q 值降低,输出波形变差,频率稳定度降低。因此,一般在小功率振荡器中总是使静态工作点远离饱和区靠近截止区。 (2)振荡频率 f 的计算 式中 CT为 C1、C2和 C3的串联值,因 C1(300p)>>C3(75p),C2(1000P)>> C3(75p),故 CT≈C3,所以,振荡频率主要由 L、C 和 C3 决定。 (3)反馈系数F的选择 反馈系数 F不宜过大或过小,一般经验数据 F≈0.1~0.5,本实验取F=0.3 5.克拉波和西勒振荡电路 图 1-2 为串联改进型电容三点式振荡电路——克拉泼振荡电路。图1-3 为并联改进型电容三点式振荡电路——西勒振荡电路。 6.电容三点式 LC 振荡器电路 电容三点式LC振荡器电路如图1-4所示。图中1K01打到“S”位置(右侧)时,为改进型克拉泼振荡电路,打到“P”位置(左侧)时,为改进型西勒振荡电路。开关IS03控制回路电容的变化。调整1W01可改变振荡器三极管的电源电压。1Q02为射极跟随器。1TP02为振荡器直流电压测量点。1W02用来改变输出幅度。 二、实验目的

相关文档