文档库 最新最全的文档下载
当前位置:文档库 › 一种低功耗频率稳定的CMOS环形振荡器设计

一种低功耗频率稳定的CMOS环形振荡器设计

一种低功耗频率稳定的CMOS环形振荡器设计
一种低功耗频率稳定的CMOS环形振荡器设计

环形振荡器

环形振荡器 设计要求: 设计一环形振荡器,频率在120KHz 左右,尽量降低振荡频率和电源电压的相关性。 设计: 环形振荡器是有奇数个反相器构成的环形回路。电路如下图所示: 本设计中,由于振荡频率要求在120KHz 的低频,根据提供的工艺,寄生电容和电阻都很小,要实现如此之低的振荡频率需要非常多的反相器串联,电路冗长庞大。所以采用需要外加阻容元件降低工作频率。电路如下图所示。 反相器内部电路: 本设计要求尽量降低振荡频率和电源电压的相关性。造成这个相关性的原因主要来自电路的寄生电阻电容: 1. 对管的输出电阻Rn 或Rp 。 2 ()2n n THN VDD R KP W VDD V L =-可见VDD 越大,此电阻越小,振荡频率越高。 2. 寄生电容Cgd ,Cgs 。这两个参数对电源的相关性较小,但是也受一定的影响。 可见, 要有效降低振荡频率和电源电压的相关性,可采用外部的远大于寄生参数的元件来吸收寄生参数以达到目的。经分析,电路受电源影响较大的是对管的输出电阻Rn 或Rp, 它们的阻值大约为几千欧,这里,把外部的电阻取在400K 可以有效地降低相关性。根据振荡频率120KHz ,计算出τ=0.00833ms ,每一级的平均时延为/3τ=0.00278ms ,需要的电容

大小为3C R τ ==6.94pF 。这里设计的反相器输出端本身就有800fF 的电容,再考虑到寄生 电阻,电容,这里将外接电容的值取为5.5pF 。 Spice 网表文件: * Waveform probing commands .probe .options probefilename="ring_my1.dat" + probesdbfile="E:\Program Files\Tanner EDA\S-Edit\tutorial\schematic\ring_my1.sdb" + probetopmodule="ring_my1" .lib "E:\Gspice\HSPICE2002\H06MIXDDCT10V02.LIB" tt .lib "E:\Gspice\HSPICE2002\H06MIXDDCT10V02.LIB" resistor .lib "E:\Gspice\HSPICE2002\H06MIXDDCT10V02.LIB" bjt .SUBCKT inv in out Gnd Vdd c2 out Gnd 800ff m1p out in Vdd Vdd pmos L=5u W=12u mn1 out in Gnd Gnd nmos L=5u W=8u .ENDS * Main circuit: ring_my1 C1 N3 Gnd 5.5pF C2 N2 Gnd 5.5pF C3 a7 Gnd 5.5pF Xinv7 a7 OUT Gnd Vdd inv Xinv_1 N3 N5 Gnd Vdd inv Xinv_2 N2 N1 Gnd Vdd inv .print tran OUT R4 N2 OUT 400K TC=0.0, 0.0 R5 N1 N3 400K TC=0.0, 0.0 R6 N5 a7 400K TC=0.0, 0.0 .tran 50n 14000000n start=800000n VCC Vdd GND PWL (0 5 8000000n 4.5 9000000n 4 10000000n 3.5 11000000n 3 12000000n 2.5 13000000n 2) * End of main circuit: ring_my1 这里用的仿真软件是Tanner 系列的T-Spice 。 仿真:

环形振荡器版图设计

实验三:环形振荡器版图设计 一、实验目的 1、使用现有的布局实例创建新的布局; 2、仿真提取版图; 二、实验要求 1、打印出DRC报告; 2、输出CMOS环形振荡器的后置仿真结果,包括瞬态响应、振荡频率和平均功率。 三、实验工具 Virtuoso 四、实验内容 1、创建CMOS环形振荡器电路原理图; 2、创建CMOS环形振荡器的版图; 3、后仿真(Post-layout simulation,PLS)。

1、创建CMOS环形振荡器的电路原理图 在library manager界面选中lab1(自己创建的库),并点击菜单栏上的file->new->cell view,创建CMOS环形振荡器的电路原理图。 图1 CMOS环形振荡器电路原理图的创建 因为CMOS环形振荡器是由几个CMOS反相器组成的,在前面两个实验中已经创建好了CMOS反相器的电路原理图,所以可以直接调用CMOS反相器,在schematic editing窗口利用快捷键’i’打开添加实例窗口,选择之前所创建的CMOS反相器,如图2所示,连续放置5个。 图2 添加CMOS反相器 将5个CMOS反相器一次首尾相连,接着创建一个输出引脚,放置在最后一个CMOS反相器后,并通过wire将它们连接起来,具体如图3所示:

图3 CMOS环形振荡器电路原理图 2、创建CMOS环形振荡器的版图 与创建CMOS反相器的版图类似,也是在library manager窗口先选中lab1,在选择file->new->cell view,在弹出的窗口中输入环形振荡器的信息如图4所示: 图4 CMOS环形振荡器版图的创建 在layout editing中添加5个CMOS反相器,并将它们摆放在一起,中间的金属正好相接,如图5所示: 图5 CMOS环形振荡器版图

环形振荡器

集成电路设计实践报告 题目:基于Cadence的反相器设计 班级: 学号: 姓名: 1.关于Cadence EDA软件

Cadence EDA软件是当前在各类工作站上广泛使用的一种功能最为完备的电子设计自动化辅助工具,其布局/布线工具与电路仿真工具的性能超群,世界上绝大多数IC生产厂商都可以直接接收由它们生成的IC版图和仿真结果。 对于全定制的设计,首先应输入电路原理图,然后对其要完成的功能进行仿真,以便对设计功能进行验证并对设计参数进行优化。仿真结束后,进行电路的IC版图设计,设计完成后要进行版图的设计规则检查和设计参数的提取,以检查版图设计是否符合工艺要求。完成了版图的设计后,还要将版图电路与原理图电路进行对比,即LVS(Layout Versus Schematic)。确定无误后,用从版图中提取的包括各种寄生参数在内的数据进行所谓的后仿真(Post Simulation),该后仿真能够比较好地反映IC制造完成后电路的实际工作情况。一旦仿真结果满足设计要求,就可以将版图数据提交给生产厂商进行流片生产。 2.反相器设计 2.1实验目的 1、掌握用Composer绘制倒相器的电路图; 2、掌握用Analog Artist进行倒相器的电路仿真。 3、通过Vrtuoso工具进行倒相器的版图设计,尺寸按照要求绘制; 4、对倒相器的版图进行DRC、ERC、LVS验证。 2.2实验步骤 2.2.1反相器原理图的绘制 1 在终端提示符下,键入icfb&,启动Cadence EDA软件。 2 在弹出的Library Manager窗口中执行File->New->Library,将会弹出如下图所示的窗口,在Name栏中输入设计库的名字,然后还需要为设计选择一个已经存在的工艺库。具体做法是点击Attach to existing tech library前面的按钮,然后选择相应的工艺文件,当然在进行电路设计及仿真时也可以不选定工艺文件,最后点击OK。 3、在Library Manager窗口中先选择刚才新建立的库,再在菜单文件选项中选择执行File->New->Cell View选择工具栏中的“添加元件”,弹出添加元件的窗口,点击Add Instance窗口中的Browse,会弹出Component Browser窗口,选定Library为analogLib,并使得Flatten的复选框选中,一些常用的元器件就在Analoglib库中列出来了。

一种低成本的RC环形振荡器

一种低成本的RC环形振荡器 【摘要】本文提出了一种能够在纯数字CMOS工艺中制造的振荡器。通过电荷守恒原理将内部节点的电压范围限制在0~VDD之间,使其可以采用低成本的N-阱电阻和MOS电容。测试结果表明,振荡器输出频率中心值为1MHz,与设计预期相符。 【关键词】振荡器;CMOS;低成本 1.引言 众所周知,振荡器在集成电路中占有重要的地位。通过振荡器的运用,可以产生各种不同频率的周期性的时钟信号。振荡器的实现方式有很多,有通过恒流源对电容充放电,并将电容上的电压与参考电压进行比较以此来决定输出是否翻转的振荡器[1][2][3][4]。文献[5]中在通过恒流源对电容充放电的基础上,采用LDO对振荡器进行供电,以减小电源电压变化对频率的影响。上述两种方案都需要比较精确的电流和电压参考,在纯数字CMOS工艺的实现成本也较高。除此以外还有用于PLL的压控振荡器(VCO),其频率范围较大,不适合作为时钟发生器[6][7]。 图1所示的振荡器是一种通过电阻点电容充放电实现的振荡器电路。电路的各个节点的电压波形如图2所示,其中VDD为工作电源电压。这种结构的振荡器的优点是不需要用到精确的电流和电压基准,且且其振荡周期由RC常数决定,与电源电压无关,大约为2.2×R1×C1,成本相对较低。但是从图2可以看出,节点V A的电压范围为-1/2×VDD到3/2×VDD,超出了0到VDD的范围,这会导致两个问题: (1)当V A的电压达到3/2×VDD时,反相器INV1中的NMOS的栅源电压绝对值大于VDD,从而容易使NMOS晶体管的栅极被击穿,造成电路失效;同理,当V A的的电压达到-1/2×VDD时,反相器INV1中的PMOS的栅源电压绝对值也大于VDD,从而容易使PMOS晶体管的栅极被击穿,造成电路失效。 图1 现有的RC环形振荡器 图2 现有的RC环形振荡器各节点电压波形 (2)在CMOS工艺中,电容C1虽然可以有双多晶电容、MIM电容和MOS 电容(多晶-N阱电容)等多种电容被采用,但是双多晶电容的制造需要在普通的栅极多晶上添加额外的一层绝缘层和多晶层,而MIM电容的制造需要额外的绝缘层和金属层,因此双多晶电容和MIM电容在标准的纯数字CMOS工艺的基础上均需要增加额外的工艺步骤,成本较高;而MOS电容是由单层多晶和N阱组合实现的,可在纯数字CMOS工艺中制造,成本较低。同时由于MOS电容的的电压系数较大,为减小多晶-N阱电容受电压系数的影响,一般将MOS电容反

环形振荡器设计

目录 目录 ................................................................................................................ 错误!未定义书签。摘要 ................................................................................................................ 错误!未定义书签。Abstract ........................................................................................................... 错误!未定义书签。 1 设计目的及任务要求................................................................................. 错误!未定义书签。 1.1 设计目的.......................................................................................... 错误!未定义书签。 1.2 任务要求.......................................................................................... 错误!未定义书签。 1.3 软件简介.......................................................................................... 错误!未定义书签。 2、工作原理................................................................................................... 错误!未定义书签。 2.1 CMOS反相器电路 .......................................................................... 错误!未定义书签。 2.2静态CMOS反相器电路 ................................................................. 错误!未定义书签。 2.3 CMOS反相器的特性 ...................................................................... 错误!未定义书签。 2.4 电压传输特性(VTC).................................................................. 错误!未定义书签。 2.5 开关阈值........................................................................................ 错误!未定义书签。 2.6 环形振荡器的工作原理................................................................ 错误!未定义书签。 3、电路设计................................................................................................... 错误!未定义书签。4.仿真结果................................................................................................... 错误!未定义书签。心得体会......................................................................................................... 错误!未定义书签。参考文献......................................................................................................... 错误!未定义书签。

《CMO环形振荡器集成电路设计综合实验》实验指导书

电路设计综合实验实验指导书之CMOS环形振荡器集成电路设计 武汉大学物理科学与技术学院 电子科学与技术系 何进

一、实验目的 1、培养学生分析、解决问题的综合能力; 2、培养学生对集成电路设计全流程的理解能力; 3、培养学生对电路设计、电路仿真、版图设计等具体技能的掌握能力; 4、培养学生团队合作能力; 二、实验要求 1、分小组独立完成CMOS环形振荡器的电路级设计、电路级仿真、版图级设计、版图级仿真(后 仿真)的实验全流程。 2、认真完成实验报告。 3、组内同学相互协作,共同完成实验。 4、按照时间分配逐步完成电路级设计、电路级仿真、版图级设计、版图级仿真(后仿真)各个步骤。 5、所设计的环形振荡器需到达以下性能指标: a.中心频率:不小于600MHz b.调谐范围:不小于600MHz c.相位噪声:不小于-100dBc/Hz@1M 三、实验设备 1、WINDOWS & LINUX软件平台 2、EDA设计软件—— Cadence &Virtuoso 四、实验步骤 总共36学时,按一次实验时间3学时计共12次实验时间。学时具体分配如下: 1、综合实验的要求&基础知识的准备 1次实验时间 2、CMOS环形振荡器器的电路级设计 1次实验时间 3、Cadence电路仿真软件的熟悉 1次实验时间 4、CMOS环形振荡器电路级仿真 2次实验时间 5、版图设计相关知识的讲授 1次实验时间 6、Virtuoso版图设计软件的熟悉 1次实验时间 7、CMOS环形振荡器运算放大器版图设计 3次实验时间 8、CMOS环形振荡器版图级仿真 1次实验时间 9、实验结果的讨论&实验报告的撰写 1次实验时间 五、实验原理 1、环形振荡器器概述 人们对振荡器的研究一直没有停止过。从早期的真空管时代到后期的晶体管时代再到现在的超大规模集成电路时代,无论是理论上还是电路结构和性能上,无论是体积上还是制作成本上无疑都取得了飞跃性的发展,但在很长的一段时期内都是处在用分离元件组装而成的阶段,其性能较差,成本相对较高,体积较大和难以大批量生产。随着电子通信领域的不断向前推进,终端产品越来越要求轻、薄、短、小,

DSP内嵌PLL中的CMOS压控环形振荡器设计(精)

DSP内嵌PLL中的CMOS压控环形振荡器设计 1 引言 在现代高性能DSP芯片设计中,锁相环(PLL)被广泛用作片内时钟发生器,实现相位同步及时钟倍频。压控振荡器(VCO)作为PLL电路的关键模块,其性能将直接决定PLL的整体工作质量。目前,在CMOS工艺中实现的VCO主要有两大类:LC压控振荡器和环形压控振荡器。其中LC压控振荡器具有较低的相位噪声和较低的功耗,但需要采用片上集成电感,因而占用很大的芯片面积,且调谐范围较小。而CMOS环形振荡器有着频率调节范围大,芯片面积小,制造工艺简单等优点,且可以通过调整振荡器的级数,方便的获得不同相位的一系列时钟,因此在系统芯片(SOC)中有着更为广泛的应用。 本文提出了一种采用四级延迟单元的CMOS环形压控振荡器,每级采用调节电流源大小,改变电容放电速度的方式,在方便的提供正交输出时钟的同时,具有2MHz至90MHz频率调节范围以及较低的功耗,可满足DSP芯片时钟系统的应用要求。 2 VCO电路设计 在锁相环系统中VCO的作用是根据不同的控制电压.输出相应振荡频率的波形,并将其输入至分频器,从而反馈到输入端。因此理想的VCO其特性函数应为: 其中Kvco为常数,表示电路的灵敏度。而实际的VCO调节特性表现出非线性,也就是Kvco不是常数,这种非线性使锁相环的稳定性退化,因此我们希望在尽可能宽的频率调节范围内Kvco的变化最小。 2.1 整体电路结构 压控环形振荡电路的整体结构框图如图1所示,整个环路由四级延迟单元构成,每级延迟为TD,其中前三级电路接成反相的,最后一级电路正相连接,因此电路不会被锁定,且每级振荡电路的输出时钟相移为45°。 图1 压控环形振荡器的整体结构框图 这里,V是电荷泵的输出电压经低通环路滤波器去除高频成分后的直流分量,用来控制每级延迟单元的延迟时间。Venable是来自外部控制电路的使能信号,当Venable为低电平时每级差分输出的两端均为“0”,此时整个VCO电路关闭,停止振荡;当Venable为高电平,电路正常工作时,环路在连续的电压结点之闸以的延迟振荡,产生的振荡周期为8TD。只要在输入电压和延迟时间TD之问建立起线形的关系,输出信号的频率F∝1/TD,就能够实现VCO所需的输入电压和输出频率之间的线性关系。 2.2单元电路设计 振荡器延迟单元的电路结构如图2所示,电路采用RS触发结构来产生差分输出的信号,这在消除静态功耗的同时,具有较好的抗噪声性能。图中的M1管和M4管分别提供对电容C1和C2充电时的电流。M2管和M5管作为电流源提供电容放电时的电流,其电流大小随控制电压V而改变,从而实现对电容放电速度的调节。另外,电容C1和C2是用源漏端接地的NMOS管制成的MOS栅氧电容,具有很高的单位面积电容值,以及较好的精度。 图2 延迟单元电路图

环形振荡器设计

目录 目录 (1) 摘要 (2) Abstract (3) 1 设计目的及任务要求 (4) 1.1 设计目的 (4) 1.2 任务要求 (4) 1.3 软件简介 (4) 2、工作原理 (5) 2.1 CMOS反相器电路 (5) 2.2静态CMOS反相器电路 (5) 2.3 CMOS反相器的特性 (6) 2.4 电压传输特性(VTC) (6) 2.5 开关阈值 (8) 2.6 环形振荡器的工作原理 (9) 3、电路设计 (12) 4.仿真结果 (14) 心得体会 (16) 参考文献 (17)

摘要 振荡器是用来产生重复电子讯号(通常是正弦波或方波)的电子元件。其构成的电路叫振荡电路,能将直流信号转换为具有一定频率的交流电信号输出。振荡器的种类很多,按振荡激励方式可分为自激振荡器、他激振荡器;按电路结构可分为阻容振荡器、电感电容振荡器、晶体振荡器、音叉振荡器等;按输出波形可分为正弦波、方波、锯齿波等振荡器。广泛用于电子工业、医疗、科学研究等方面。 环形振荡器由三个非门或更多奇数个非门输出端和输入端首尾相接,构成环状。以三个非门为例,即非门A输出端连接到非门B输入端,非门B输出端连接到非门C输入端,非门C输出端到连接非门A输入端,在其中任何一个连接的位置都可以引出输出信号。本文将围绕环形振荡器进行具有具体功能的振荡器的理论分析与设计。 关键词:集成电路环形振荡器反相器

Abstract Oscillator is used to generate repeated electrical signals (typically a sine wave or square wave) of the electronic components. Its circuit configuration is called an oscillation circuit, capable of DC into AC electrical output signal having a certain frequency. Many types of oscillators, according to the oscillation excitation can be divided into self-excited oscillator, he excited oscillator; according to the circuit structure can be divided RC-oscillator, LC oscillator, crystal oscillator, the tuning fork oscillators; according to output waveform can be divided into sine wave, square wave, sawtooth oscillator. Widely used in the electronics industry, medical and scientific research. Ring oscillator consisting of three or more odd number of NAND gate NAND gate output and the input of the end to end to form a ring. In three of the NAND gate as an example, i.e., the output of NAND gate A is connected to the B input of the NAND gate, the NAND gate B output connected to the input terminal of the NAND gate C, NAND gate C output to the A input of the NAND gate is connected, in which anywhere a connection can lead to the output signal. This article will focus on the ring oscillator oscillator has a specific function of the theoretical analysis and design. Keywords: IC inverter ring oscillator

环形振荡器的工作原理

环形振荡器的工作原理 环形振荡器是利用门电路的固有传输延迟时间将奇数个反相器首尾相接而成,该电路没有稳态。因为在静 态(假定没有振荡时)下任何一个反相器的输入和输岀都不可能稳定在高电平或低电平,只能处于高、低 电平之间,处于放大状态。 假定由于某种原因v11产生了微小的正跳变,经G1的传输延迟时间后,V12产生了一个幅度更大的负跳变, 在经过 G2的传输延迟时间后, 使v13产生更大的正跳变,经 G3的传输延迟时间后,在产生一个更大的负 跳变并反馈到G1 输入端。可见,在经过 3后,v11又自动跳变为低电平,再经过 3之后,v11又将跳变为 高电平。如此周而复始, 便产生自激振荡。如图 2所示,可见振荡周期为 6 LJTl F7L_r Gi 图i 环形惑器的原理电路 图2环形振荡器的工作波形 环形振荡器的改进原因 环形振荡器的突岀优点是电路极为简单,但由于门电路的传输延迟时间极短,门电路只有几十纳秒,电路 也不过一二 百纳秒,难以获得较低的振荡频率,而且频率不易调节,为克服这个缺点,有几种改进电路, 下面给出对照图。如图 3和图4所示。 环形振荡器的改进原理 接入电路以后,不仅增大了门G2的传输延迟时间2有助于获得较低的振荡频率。而且通过改变R 和C 的 数值可以很 方便地实现对频率的调节。 环形振荡器的实用电路 如图4,为了进一步加大和 G2的传输延迟时间,在实用电路中将电容 C 的接地端改接 G1的 输出端。如图 1035所示。例如当V12处发生负跳变时,经过电容 C 使V13首先跳变到一个负电平,然后再从这个负电 Ga 图3御感器的改进电路 图4环形振荡器时实用改讲电路图

相关文档