文档库 最新最全的文档下载
当前位置:文档库 › 数字电子技术试题及答案

数字电子技术试题及答案

数字电子技术试题及答案
数字电子技术试题及答案

广东技术师范学院《数字电子技术》试卷及答案

一、填空题(每空1分,共20分)

1. 有一数码,作为自然二进制数时,它相当于十进制数( 147 ),作为8421BCD 码时,它相当于十进制数( 93 )。

2.三态门电路的输出有高电平、低电平和( 高阻 )3种状态。 3.TTL 与非门多余的输入端应接( 高电平或悬空 )。

4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( 高 )电平。

5. 已知某函数??

? ?

?+??? ?

?++=D C AB D C A B F ,该函数的反函数F =

( D C B A D C A B ++ )。

6. 如果对键盘上108个符号进行二进制编码,则至少要(7)位二进制数码。

7. 典型的TTL 与非门电路使用的电路为电源电压为( 5 )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( 3—18 ) V 。

8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。

9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11 )根地址线,有( 16 )根数据读出线。

10. 两片中规模集成电路10进制计数器串联后,最大计数容量为(100 )位。

11. 下图所示电路中, Y 1=( Y 1=A 反B );Y 2 =( Y 2=A B 反+ A B );

(Y 3=A B

Y 3=A B 反

12. 某计数器的输出波形如图1所示,该计数器是( 5 )进制计数器。

13.驱动共阳极七段数码管的译码器的输出电平为( 低 )有效。

二、单项选择题(本大题共15小题,每小题2分,共30分)

(在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。)

1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( A ) 。

A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7)

C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7)

2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。

A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 .2 C

4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( A )。

A. 00

B. 00

C. 11

D. 00

5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( C ) 。

A. B. 10111111 C. D.

6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( A )种。

A .15

B .8

C .7

D .1

7. 随机存取存储器具有( A )功能。

A.读/写

B.无读/写

C.只读

D.只写

8.N 个触发器可以构成最大计数长度(进制数)为( D )的计数器。

9.某计数器的状态转换图如下, 其计数的容量为( B )

A . 八 B. 五 C. 四 D. 三

10.已知某触发的特性表如下(A 、B 为触发器的输入)其输出信号的逻辑表达式为( C )。

A . C. n n 1n Q

B Q A Q +=+ D. Q n+1 = B 11. 有一个4位的D/A 转换器,设它的满刻度输出电压为10V ,当输入数字量为1101时,输出电压为( A )。

A . C. 函数F=AB+BC ,使F=1的输入ABC 组合为( D )

A .ABC=000

B .ABC=010

C .ABC=101

D .ABC=110

13.已知某电路的真值表如下,该电路的逻辑表达式为( C )。

A .C Y = B. A

B

C Y = C .C AB Y +=

D .C C B Y +=

14.四个触发器组成的环行计数器最多有( D )个有效状态。 B. 6 C. 8 D. 16

三、判断说明题(本大题共2小题,每小题5分,共10分)

(判断下列各题正误,正确的在题后括号内打“√”,错误的打“×”。)

1、逻辑变量的取值,1比0大。(×)

2、D/A转换器的位数越多,能够分辨的最小输出电压变化量就越小(√)。

3.八路数据分配器的地址输入(选择控制)端有8个。(×)

4、因为逻辑表达式A+B+AB=A+B成立,所以AB=0成立。(×)

5、利用反馈归零法获得N进制计数器时,若为异步置零方式,则状态SN只是短暂的过渡状态,不能稳定而是立刻变为0状态。(√)

6.在时间和幅度上都断续变化的信号是数字信号,语音信号不是数字信

号。(√)

7.约束项就是逻辑函数中不允许出现的变量取值组合,用卡诺图化简时,可将约束项当作1,也可当作 0。(√)

8.时序电路不含有记忆功能的器件。(×)

9.计数器除了能对输入脉冲进行计数,还能作为分频器用。(√)

10.优先编码器只对同时输入的信号中的优先级别最高的一个信号编码.(√)

四、综合题(共30分)

1.对下列Z 函数要求:(1)列出真值表;(2)用卡诺图化简;(3)画出化简后的逻辑图。(8分)

Z=C B A C B A B A ??+??+

BC=0

(1)真值表 (2分) (2)卡诺图化简(2分)

(3) 表达式(2分) 逻辑图(2分)

Z=C B A B A ++=A ⊕B+C BC=0

2.试用3线—8线译码器74LS138和门电路实现下列函数。(8分)

Z (A 、B 、C )=AB+A C

解:Z (A 、B 、C )=AB +A C =AB (C +C )+A C (B +B )

=ABC +AB C +A BC +A B C = m 1+ m 3+ m 6+ m 7

=7 6 3 1 m m m m ???

3.74LS161是同步4位二进制加法计数器,其逻辑功能表如下,试分析下列电路是几进制计数器,并画出其状态图。(8分)

74LS161逻辑功能表

CP

“1“1“1Z

4.触发器电路如下图所示,试根据CP及输入波形画出输出端Q

1

、Q

2

的波形。设各触发器的初始状态均为“0”(6分)。

一、填空题:(每空3分,共15分)

1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。

2.将2004个“1”异或起来得到的结果是(0 )。

3.由555定时器构成的三种电路中,(施密特触发器)和(单稳态触发器)是脉冲的整形电路。

4.TTL器件输入脚悬空相当于输入(高)电平。

5.基本逻辑运算有: (与)、(或)和(非)运算。

CP

A

Q1

Q2

6.采用四位比较器对两个四位数比较时,先比较(最高)位。

7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;

8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器。

9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和( CMOS )电路。

10.施密特触发器有(两)个稳定状态.,多谐振荡器有(0 )个稳定状态。

11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;

12.两二进制数相加时,不考虑低位的进位信号是(半)加器。

13.不仅考虑两个本位(低位)相加,而且还考虑来自低位进位相加的运算电路,称为全加器。

14.时序逻辑电路的输出不仅和_该时刻输入变量的取值_有关,而且还与_该时刻电路所处的状态_有关。

15.计数器按CP脉冲的输入方式可分为_同步计数器_和_异步计数器_。

16.触发器根据逻辑功能的不同,可分为_RS触发器、_T触发器_、_JK 触发器_、_Tˊ触发器_、_D触发器_等。

17.根据不同需要,在集成计数器芯片的基础上,通过采用_反馈归零法、_预置数法_、_进位输出置最小数法_等方法可以实现任意进制的技术器。

18.4. 一个 JK 触发器有两个稳态,它可存储一位二进制数。

19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。

20.把JK触发器改成T触发器的方法是J=K=T 。

21.N个触发器组成的计数器最多可以组成 2n 进制的计数器。

22.基本RS触发器的约束条件是 RS=0 。

23.对于JK触发器,若K

J=,则可完成 T触发器的逻辑功能;若K

J=,则可完成 D触发器的逻辑功能。

二.数制转换(5分):

1、()

2=()

16

=()

10

2、(8F.FF)

16=(.)

2

=()

10

3、()

10=()

2

=()

16

4、(+1011B)

原码=(01011)

反码

=(01011)

补码

5、(-101010B)

原码=(1010101)

反码

=(1010101)

补码

1、化简等式

Y ABC ABC ABC

=++

C

B

AC

B

A

Y+

+

=

D

C

A

C

B

A

B

A

D

C

Y+

+

=)

(,给定约束条件为:AB+CD=0

2 用卡诺图化简函数为最简单的与或式(画图)。

(0,2,8,10)

Y m

=∑

化简得Y AC AD

=+

四.画图题:(5分)

1.试画出下列触发器的输出波形 (设触发器的初态为0)。 (12分)

1.

2.

3.

2.已知输入信号X,Y,Z的波形如图3所示,试画出

Z

Y

X

YZ

X

Z

Y

X

XYZ

F?

+

+

?

+

=的波形。

图3 波形图

五.分析题(30分)

1、分析如图所示组合逻辑电路的功能。

2.试分析如图3所示的组合逻辑电路。 (15分)

1). 写出输出逻辑表达式;

2). 化为最简与或式;

3). 列出真值表;

4). 说明逻辑功能。

3. 七、分析如下时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。(20分)

A

B

C

Y

&

&

& &

图4

4.74161组成的电路如题37图所示,分析电路,并回答以下问题

(1)画出电路的状态转换图(Q

3Q

2

Q

1

Q

);

(2)说出电路的功能。(74161的功能见表)

题37图

六.设计题:(30分)

1.要求用与非门设计一个三人表决用的组合逻辑电路图,只要有2票或3票同意,表决就通过(要求有真值表等)。

2. 试用JK触发器和门电路设计一个十三进制的计数器, 并检查设计的电路能否自启动。(14分)

七.(10分)试说明如图 5所示的用555 定时器构成的电路功能,求出U T+ 、U T- 和ΔU T ,并画出其输出波形。(10分)

图5

《数字电路》期末模拟试题及答案

. 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1= 2Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

数电期末模拟题及答案

《数字电子技术》模拟题一 一、单项选择题(2×10分) 1.下列等式成立的是( ) A 、 A ⊕1=A B 、 A ⊙0=A C 、A+AB=A D 、A+AB=B 2.函数F=(A+B+C+D)(A+B+C+D)(A+C+D)的标准与或表达式是( ) A 、F=∑m(1,3,4,7,12) B 、F=∑m(0,4,7,12) C 、F=∑m(0,4,7,5,6,8,9,10,12,13,14,15) D 、F=∑m(1,2,3,5,6,8,9,10,11,13,14,15) 3.属于时序逻辑电路的是( )。 A 、寄存器 B 、ROM C 、加法器 D 、编码器 4.同步时序电路和异步时序电路比较,其差异在于后者( ) A 、没有触发器 B 、没有统一的时钟脉冲控制 C 、没有稳定状态 D 、输出只与内部状态有关,与输入无关 5.将容量为256×4的RAM 扩展成1K ×8的RAM ,需( )片256×4的RAM 。 A 、 16 B 、2 C 、4 D 、8 6.在下图所示电路中,能完成01=+n Q 逻辑功能的电路有( ) 。 A 、 B 、 C 、 D 、 7.函数F=A C+AB+B C ,无冒险的组合为( )。 A 、 B=C=1 B 、 A=0,B=0 C 、 A=1,C=0 D 、 B=C=O 8.存储器RAM 在运行时具有( )。 A 、读功能 B 、写功能 C 、读/写功能 D 、 无读/写功能 9.触发器的状态转换图如下,则它是: ( ) A 、T 触发器 B 、RS 触发器 C 、JK 触发器 D 、D 触发器 10.将三角波变换为矩形波,需选用 ( ) A 、多谐振荡器 B 、施密特触发器 C 、双稳态触发器 D 、单稳态触发器 二、判断题(1×10分) ( )1、在二进制与十六进制的转换中,有下列关系: (1001110111110001)B =(9DF1)H ( )2、8421码和8421BCD 码都是四位二进制代码。 ( )3、二进制数1001和二进制代码1001都表示十进制数9。 ( )4、TTL 与非门输入采用多发射极三极管,其目的是提高电路的开关速度。 ( )5、OC 与非门的输出端可以并联运行,实现“线与”关系,即L=L 1+L 2 ( )6、CMOS 门电路中输入端悬空作逻辑0使用。 ( )7、数字电路中最基本的运算电路是加法器。 ( )8、要改变触发器的状态,必须有CP 脉冲的配合。

数字电子技术试题及答案

广东技术师范学院《数字电子技术》试卷及答案 一、填空题(每空1分,共20分) 1.?147),作为8421BCD 码时,它相当于十进制数(93)。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5.已知某函数?? ? ? ?+??? ? ?++=D C AB D C A B F ,该函数的反函数F =(D C B A D C A B ++)。 时,输出 ROM 有0Y 的值是A .111B.010 C.000D.101 3.十六路数据选择器的地址输入(选择控制)端有(C )个。 A .16B.2 C.4D.8 4.有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是(A )。 A.1011--0110--1100--1000--0000 B.1011--0101--0010--0001--0000 C.1011--1100--1101--1110--1111 D.1011--1010--1001--1000--0111

5.已知74LS138译码器的输入三个使能端(E 1=1,E 2A =E 2B =0)时,地址码A 2 A 1 A =011,则输出Y 7 ~ Y 是(C)。 6.一只四输入端或非门,使其输出为1的输入变量取值组合有(A)种。A.15?????????B.8 C.7?????????D.1 7.随机存取存储器具有(A)功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N个触发器可以构成最大计数长度(进制数)为(D)的计数器。 9 10 A 11 12 A. 13 14 A.4 B.6 C.8 D.16 三、判断说明题(本大题共2小题,每小题5分,共10分) (判断下列各题正误,正确的在题后括号内打“√”,错误的打“×”。) 1、逻辑变量的取值,1比0大。(×) 2、D/A转换器的位数越多,能够分辨的最小输出电压变化量就越小(√)。

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

数字电子技术模拟试题4

泰山学院课程考试专用 《数字电子技术》模拟试题 4 (试卷共8页,答题时间120分钟) 一、填空题(每空 1分,共 20 分。) 1、(33)10=( )16=( )2 2、若各门电路的输入均为A 和B ,且A=0,B=1;则与非门的输出为_________,或非门的输出为___ ___,同或门的输出为__ __。 3、一个数字信号只有 种取值,分别表示为 和 。 4、一个三态门如图1.4, 当E ′=__________时,Y=)('AB 。 5、某EPROM 有8位数据线、13位地址线,则其存储容量为 位。 6、若要构成七进制计数器,最少用 个触发器,它有 个无效状态。 7、多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 8、A/D 转换的一般步骤包括 、 、 和 。 9、欲将触发器置为“1”态,应使D R '= , D S '= 。 二、选择题(每题 2分,共 20 分。请将答案填在下面的表格内)1、在不影响逻辑功能的情况下,CMOS 与非门的多余输入端可_______。 A.接高电平 B.接低电平 C.悬空 D.通过大电阻接地 2、下图中,满足Q * =1 的触发器是_____________。

3、由四个触发器构成十进制计数器,其无效状态有__________。 A.四个 B.五个 C.六个 D.十个 4、以下电路中,欲获得一个数字系统的时钟脉冲源,应采用____________。 A .D 触发器 B.多谐振荡器 C.单稳态触发器 D.施密特触发器 5、逻辑代数中有3种基本运算: 、 和 。 A. 或非,与或,与或非 B. 与非,或非,与或非 C. 与非,或,与或 D. 与,或,非 6、用555定时器构成的施密特触发器的回差电压可表示为 。 A. cc V 3 1 https://www.wendangku.net/doc/ad15154005.html, V 3 2 C. V cc D. cc V 4 3 7、在下列门电路中,输出端不可以并联使用的是 。 A. 三态门 B.集电极开路门(OC 门) C.具有推挽输出结构的TTL 门电路 D.CMOS 传输门 8、某A/D 转换器有8路模拟信号输入,若8路正弦输入信号的频率分别为1KHz ,…,8KHz ,则该A/D 转换器的采样频率f s 的取值应为 。 A. f s ≤1KHz B. f s =8KHz C. f s ≥16KHz D. f s ≥2KHz 9、四位环形计数器的有效状态有 个。 A. 2 B. 4 C. 6 D. 8 10、下列电路中不属于时序逻辑电路的是 。 A.计数器 B. 全加器 C.寄存器 D.分频器 1、Y 1=A )('BC +AB C ' 2、Y 2(A ,B ,C ,D )=∑m (1,3,5,7,8,9)+∑d(11,12,13,15)四、1、电路如图4.1(a)所示,各电路的CP 、A 、B 、C 波形如图(b )所示。

数字电子技术 期末考试试题

数字电子技术期末考试试题 期末考试试题 课程名称《数字电子技术》适用专业自动化、测控考试时间 ( 120 )分钟 一、填空题(22分每空2分) A,0,A,1,1、 , 。 2、JK触发器的特性方程为: 。 3、单稳态触发器中,两个状态一个为态,另一个为态.多谐振荡器两个状态都为 态,施密特触发器两个状态都为态. 4、组合逻辑电路的输出仅仅只与该时刻的有关,而与无 关。 5、某数/模转换器的输入为8位二进制数字信号(~D),输出为D0~25.5V的模拟电压。若数字信70号的最低位是“1”其余各位是“0”,则输出的模拟电压为。 6、一个四选一数据选择器,其地址输入端有个。二、化简题(15分每小题5分) 用卡诺图化简逻辑函数,必须在卡诺图上画出卡诺圈 1) Y(A,B,C,D)=?m(0,1,2,3,4,5,6,7,13,15) 2)L(A,B,C,D),m(0,13,14,15),d(1,2,3,9,10,11) ,, 利用代数法化简逻辑函数,必须写出化简过程 __________________________________________________ 3) F(A,B,C),AB,ABC,A(B,AB) 三、画图题(10分每题5分) 据输入波形画输出波形或状态端波形(触发器的初始状态为0). 1、

2、 四、分析题(17分) 1、分析下图,并写出输出逻辑关系表达式,要有分析过程(6分) 2、电路如图所示,分析该电路,画出完全的时序图,并说明电路的逻辑功能,要有分析过程(11分) 五、设计题(28分) 1、用红、黄、绿三个指示灯表示三台设备的工作情况:绿灯亮表示全部正常;红灯亮表示有一台不 正常;黄灯亮表示两台不正常;红、黄灯全亮表示三台都不正常。列出控制电路真值表,要求用 74LS138和适当的与非门实现此电路(20分)

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

数字电子技术模拟试题及答案

《数字电子技术》模拟试题 20分)一、填空题(每题2分,共 1511、十六进制数97 。,对应的十进制数为 0 时,输出为2”描述的是与运算的规则。、“至少有一个输入为 0 变量逻辑函数有16个最小项。、 4 3 运算。非和 4、基本逻辑运算有: 与、或 加器。半 5、两二进制数相加时,不考虑低位的进位信号是 电平。高 6、TTL器件输入脚悬空相当于输入 线、地址线和控制线。数据 7、RAM的三组信号线包括:位。最高8、 采用四位比较器对两个四位数比较时,先比较 15分)二、单项选择题(每个3分,共的国标逻辑符号中是异或门。B 1、图1 图1 C 。2、下列逻辑函数表达式中可能存在竞争冒险的是 B)(B?(A?C)F? B A )B?C)(?(A?BFF?(A?B)(B?C)F?(A?B)(B?C) D C 3、下面逻辑式中,不正确的是_ A___。 ABC?A?B?C B. A. A??ABA D. C. AA??B)A(BAAB?4、时序逻辑电路中必须 有___B___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码器 5、有S1,S2两个状态,条件 B 可以确定S1和S2不等价。 A. 输出相同次态不同D. 次态相同C. 输出不同 B. 10分)三、简答题(共A??B左边=(A?)(A?B)(?1A?A?B)?解:分) 1、(证明:

4B?BA?A?A12、某逻辑函数的真值表如表所示,画出卡诺图。(6分)某逻辑函数的真值表 1 表 F B A C 0 0 0 0 1 1 0 0 1 0 1 0 X 1 1 0 X 0 0 1 0 0 1 1 1 1 0 1 X 1 1 1 分)四、分析题(20 Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=CP↑;CP0=CP↑。 2)列出其驱动方程:(4分) Q1;K0==1 ;J0。Q0J1=;K1=1?Q?Q1或XX3)列出其输出方程:(1分)Z=XQ1Q0 n?1n?1?QQ1Q0Q?Q1?Q0?XQ1或Q1?Q0?XQ1Q04)求次态方程:4(分);10分)9)作状态表及状态图(5.

数字电子技术期末试题库

【数字电子技术】【试题库】 一、填空题 1.电子电路中的信号可分为两大类,即模拟信号和。 2.数字信号是时间上和上都不连续的信号。 3.十进制数176转换成二进制数为。 4.二进制数11010011转换成十进制数为。 5.所谓二-十进制编码,就是用若干位二进制码元按一定的规律排列起来表示十进制数的过程,也称为码。 6.目前,国际最通用的处理字母、专用符号和文字的二进制代码就是美国标准信息交换码,即码。 ?+?=。 7.二进制数的逻辑运算0111 8. 二进制数的逻辑运算11=。 ⊕=。 9. 二进制数的逻辑运算11 +?=。 10.利用逻辑代数公式,对右式进行化简,A A B ++?=。 11.利用逻辑代数公式,对右式进行化简,A B A B 12.逻辑代数的三条重要规则分别是代入规则、反演规则和。 13.由n个逻辑变量组成的不同最小项个数为个。 14.由n个变量组成的“与或”逻辑表达式,若其中每一项均是关于n个逻辑变量的最小项,则称这一表达式为。 15.利用卡诺图求解最简逻辑表达式时,需要画方格圈,其中有三条要求:将2n个值为1的方格划为一个方格圈,方格圈的数量应(越少/越多)越好,方格圈所含的方格数应(越少/越多)越好。 16.三极管作为开关元件,通常工作在截止区和。 17.集成门电路主要有TTL门电路和。 18.三态门电路的输出有高电平、低电平和共3种状态。 19.TTL集成门电路是由半导体构成的,由于它工作速度快,带负载和抗干扰能力强,因而在数字电路中应该广泛。 20.根据逻辑功能的不同特点,数字逻辑电路可以分为两大类:组合逻辑电路和。 21.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现干扰脉冲,从而导致逻辑电路产生错误输出的现象,称为。 22.触发器有两种稳定状态,即0状态和。 23.RS触发器由两个门电路首尾相连构成。 24.为了避免基本RS触发器输出存在不确定的情况,对其输入端设置了相应的约束条件是。

数字电子技术(模拟试题1)

1、(110.101)2=()10,(12.7)10=()2 2、构成组合逻辑电路的基本逻辑单元是(),构成时序逻辑电路的基本逻辑单元电路是()。 3、T T L反相器的电压传输特性曲线中,转折区中点对应的输入电压称为()电压。 4、当七段显示译码器的输出为高电平有效时,应选用共()极数码管。 5、触发器移步输入端为低电平有效时,如果异步输入端R D=1,S D=0,则触发器直接置成()状态。 6.数字电路中,常用的脉冲波形产生电路是()器。 7、A/D和D/A转换器的转换精度指标,可采用()和()两个参数描述。 8、几个集电极开路与非门(O C门)输出端直接相连,配加负载电阻后实现()功能。 二、(15%) 1、将逻辑函数化为最小项之和形式:Y=A B C+A C+B C 2、用公式法化简逻辑函数: Y1=A C+A D+C D,Y2=A D+A D+A B+A C+B D+A C E F+B E F+D E F G; 3、用卡诺图化简逻辑函数: Y1=A B C+A B D+A C D+C D+A B C+A C D,Y2(A B C)=∑(m0,m1,m2,m4), 约束条件:m3+m5+m6+m7=0

1、试说明能否将与非门、或非门、异或门分别当作反响起来使用? 如果可以,各个门电路的输入端该如何连接?(利用两个输入一个输出的逻辑符号图分别表示出各门电路作为反向器使用时对应输入端的接法)。 2、4位输入的倒T型电阻网络D/A转换器,V R E F=-8V,在R f=R的条 件下,输入数字量d3d2d1d0=1010时,输出电压U0的数值是多少? 四、设计电路 1、举重比赛中有A、B、C三名裁判,A为主裁,当两名或两名以上 裁判(必须包括A在内)认为运动员上举杠铃合格,才能认为成功。 (1)、要求列真值表,用与非门电路设计该逻辑电路。 (2)、用74L S138芯片配合适当的门电路设计该逻辑电路

数字电子技术模拟试题及答案

数字电子技术模拟试题及 答案 Prepared on 24 November 2020

《数字电子技术》模拟试题 一、填空题(每题2分,共20分) 1、十六进制数97,对应的十进制数为 (1) 。 2、“至少有一个输入为0时,输出为 (2) ”描述的是与运算的规则。 3、 (3) 变量逻辑函数有16个最小项。 4、基本逻辑运算有: (4) 、 (5) 和 (6) 运算。 5、两二进制数相加时,不考虑低位的进位信号是 (7) 加器。 6、TTL 器件输入脚悬空相当于输入 (8) 电平。 7、RAM 的三组信号线包括: (9) 线、地址线和控制线。 8、采用四位比较器对两个四位数比较时,先比较 (10) 位。 二、单项选择题(每个3分,共15分) 1、图1的国标逻辑符号中 (11) 是异或门。 图1 2、下列逻辑函数表达式中可能存在竞争冒险的是 (12) 。 A ))((C B B A F ++= B ))((C B B A F ++= C ))((C B B A F ++= D ))((C B B A F ++= 3、下面逻辑式中,不正确的是_ (13)____。 A.C B A ABC ??= B. A AB A += C. ()A A B A += D. AB BA = 4、时序逻辑电路中必须有___(14)___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码 器

5、有S1,S2两个状态,条件(15)可以确定S1和S2不等价。 A. 输出相同 B. 输出不同 C. 次态相同 D. 次态不同 三、简答题(共10分) 1、证明:B A+ = +(4分) A A B 2、某逻辑函数的真值表如表1所示,画出卡诺图。(6分) 表1 某逻辑函数的真值表 A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 X 1 0 0 X 1 0 1 0 1 1 0 1 1 1 1 X 四、分析题(20分) Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=;CP0=。 2)列出其驱动方程:(4分) J1=;K1=;J0=;K0=。 3)列出其输出方程:(1分) Z=

数字电子技术试卷及答案(免费版)

第1页(共28页) 第2页(共28页) 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 本试卷共 6 页,满分100 分;考试时间:90 分钟;考试方式:闭卷 题 号 一 二 三 四(1) 四(2) 四(3) 四(4) 总 分 得 分 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码 时,它相当于十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( ) 。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. 下图所示电路中, Y 1=( );Y 2 =( );Y 3 =( )。 12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错 选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。 A.N B.2N C.N 2 D.2N 9.某计数器的状态转换图如下, 其计数的容量为( ) A . 八 B. 五 C. 四 D. 三 A B Y 1 Y 2 Y 3 000 001 010 011 100 101 110 111

数字电子技术基础试题及答案(1)

5.某地址译码电路如图2所示,当输入地址变量 A7-A0的状态分别为什么状态 时,丫1、丫6分别才为低电平(被译中) 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 ________________ 和 _______________ 两分组成。 2. 十进制数(56) 10转换为二进制数为 ____________ 和十六进制数为 __________ 3. 串行进位加法器的缺点是 _________ ,想速度高时应采用 ____________ 加法器< 4. 多谐振荡器是一种波形 _________ 电路,它没有稳态,只有两个 ______________ 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M ________________ 二、化简、证明、分析综合题: 1. 写出函数F (A,B,C,D) = A B C D E 的反函数 2. 证明逻辑函数式相等: BC D D(B C)(AD B) B D 3. 已知逻辑函数 F= E( 3,5,8,9 , 10, 12) +E d(0,1,2) (1) 化简该函数为最简与或式: (2) 画出用两级与非门实现的最简与或式电路图: 4. 555定时器构成的多谐振动器图1所示,已知R 1=1K Q , R 2=8.2K Q , C=0.1卩F 试求脉冲宽度T ,振荡频率f 和占空比q 。 ) 级 班 ( 业 专 M As As 扣 As

6?触发器电路就输入信号的波形如图 3 所示,试分别写出D 触发器的Q 和Q1 的表达式,并画出其波形。 Q n+1= 7.已知电路如图4所示,试写出: ① 驱动方程; ② 状态方程; ③ 输出方程; ④ 状态表; ⑤ 电路功能。 二、设计题:(每10分,共20分) 1 ?设计一个三变量偶检验逻辑电路。当三变量 A B C 输入组合中的“ T 的 个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图 2 .试用74161、3-8译码器和少量门电路,实现图 5所示波形V01 VO2 其中CP 为输入波形。要求: 1 A Rd O E 營 _TLnJTTLTL D= J - Q i =

数字电子技术 试题

数字电子技术复习题 一、填空题 1.十进制数(95.12)10转化为二进制数为( )2转化为8421BCD码则是( ) 2.将(8E.C)H、(136.4)8、(10011.0111)B转化为十进制数分别为_________、__________、________. 3.常用的集数成数字电路芯片,按照组成的器件不同,可以分成______系列和_______系列。 4.进行异或运算,若取值为1为奇数个,“0”的个数任意个,则运算结果为_______,.若取值为1为偶数个,0的个数为任意个,则运算结果为__________. 5.同个变量A、B、C、D最小项共有_______个,其中用m g表示的最小项表达式为________. 6.某班有45个学生,现采用二进制数编码,则编码器输出至少___位二进制数才能满足要求. 7.在时序逻辑电路中,起到储存作用的是________ 8.将某一脉冲的频率进行二分频得到新的脉冲,则其周期是原脉冲的____倍. 9.JK触发器的输出状态有1变成0时,需要满足J=______K=________. 10使四位右移寄存器的输出为1011,则在串行输入端口应依次输入__________. 11.计数器按照计数循环有效状态的个数可以分为________ ________ _________三类. 二、选择题 1.已经8421BCD码01011001,其对应的十进制数为() A.59 B.75 C.110 D.89 2.一个班级有4个班委委员,如果要开班委会,这四个委员全部同意才可以召开,其逻辑为()逻辑 A.或 B.非 C.与 D.或非 3.在一个三变量函数中,以下()为最小项 A.A B.ACA C.ABB D.ABC 1 4.图中所示的符号为什么符号() A.或门 B.非门 C.与门 D.或非门 5.同或门的输入分别为1和0,其输出为() A.0 B.1 C.X D.无法判断 6.具有记忆和储存功能的电路属于() A.组合逻辑电路 B.时序逻辑电路 C.TTL.电路 D.无法判断 7.逻辑式AB+AC+C与下列()相同 A. AB B.AC+B C.A D.AB+C 8.在逻辑式于AB+CD中,输入A=1,B=0,C=1,D=1,则F为() A.0 B.1 C.X D.无法判断 9.负边沿D触发器,在时钟脉冲CP负边沿到来前D为1,而CP负边沿后D变为0,则CP 负边沿Q的值为() A. 0 B.1 C. X D.无法判断 10.在同步工作条件下,D触发器的现态Q n=1,要求Q n-1=0,则应使() A.D=0 B.D=1 C.D=X D.Q=0 三、简答题 1.逻辑代数中三种基本的逻辑运算?常用的复合逻辑运算有哪些? 2.简述什么是组合逻辑电路,什么是时序逻辑电路?分别是由什么组成?

数字电子技术试题1

数字电子技术基础试题1 一、填空题(请将答案填在相应的答题线上。每空1分,共26分) 1.将十进制数()1043.375用二进制数表示为 ,用十六进制数表示为 。 2.二进制数()21101-的原码为 ,反码为 ,补码为 。 3.格雷码的特点为 ,()1049用8421BCD 码表示为 。 4.按触发信号触发方式的不同,可把触发器分为 、 、 三种类型。 5.PROM 是指 ,SRAM 是指 , CPLD 是指 ,GAL 是指 ,DAC 是指 。 6.有一逻辑表达式Y A BC =+,则其反演式为 ,对偶式为 。 7.图1-1所示的门电路的名称是 ,该门电路在 的情况下导通,导 通时输出Y = 。 图1-1V I v o v 图1-2 EN' () a () b I v o v 8.试指出图1-2所示各电路符号或电路的名称:(a ) ,(b ) 。 9.JK 触发器的特性方程为 。 10. 通过不同的外围连接555定时器可以组成 、 、 等电路。

二、单项选择题(从下列各小题的四个备选答案中,选出一个正确答案,并将其代号填在答题线上。每小题3分,共24分) 1.下列逻辑等式,不正确的是 。 A .A A B A += B .A A'B B += C .AB AB'A += D .()A A B A += 2.把逻辑函数式Y AB'C A'B C'=+++化成最简形式,可得 。 A .Y A' B =+ B .Y B C'=+ C .Y A'C'=+ D .1Y = 3.下列函数式中,是最小项之和形式的为 。 A .(),,Y A B C A B'C =+ B .(),,Y A B C A'B'C'AB'C A'BC'=++ C .()(),,Y A B C ABC A'BC''=+ D .(),,,Y A B C D ACD A'C'D ABD'=++ 4.可以将输出端直接并联实现“线与”逻辑功能的门电路是 。 A .三态输出的门电路 B .推拉式输出结构的TTL 门电路 C .互补输出结构的CMOS 门电路 D .集电极开路输出的TTL 门电路 5.在下列电路中,属于时序逻辑电路的是 。 A .编码器 B .译码器 C . 计数器 D .数据选择器 6.对于输入输出均为低电平有效的8线-3线优先编码器74HC148,已知'' 07 ~I I 优先级别依次增大,当它的输出端'''210010Y Y Y =时,它的输入端'''''''' 01234567I I I I I I I I 可能是 。 A .10011111 B . 10011011 C .11011001 D . 11111001 7.为了把串行输入的数据转换为并行输出的数据,可以使用 。 A .移位寄存器 B .寄存器 C .计数器 D .存储器 8.对图2-1所示的电路,下列陈述错误的是 。 A .当A =0, B =1时,Y =1 B .当A =1,B =0时,Y =1 C .当A = B =0时,Y =0 D .当A = B =1时,Y =1 三、化简题(应用卡诺图化简法把下列逻辑函数化为最简与或形式,共5分) ()()(),,,3,5,6,7,100,1,2,4,8Y A B C D m d =+∑ 图2-1 A B Y

数字电路模拟题

题型分布:填空题2*9=18、选择题3*4=12、逻辑函数化简6+7+7=20、画波形10、分析与设计15+25=40 一、填空题 1、与非门的逻辑功能为。 2、数字信号的特点是在上和上都是断续变化的,其高电平和低电平常用 和来表示。 3、三态门的“三态”指,和。 4、逻辑代数的三个重要规则是、、。 5、为了实现高的频率稳定度,常采用振荡器;单稳态触发器 受到外触发时进入态 6、计数器按增减趋势分有、和计数器。 7、一个触发器可以存放位二进制数。 8、优先编码器的编码输出为码,如编码输出A 2A 1 A =011,可知对输入的进 行编码。 9、逻辑函数的四种表示方法是、、、。 10、移位寄存器的移位方式有,和。 11、同步RS触发器中,R,S为电平有效,基本RS触发器中R,S为 电平有效。 12、常见的脉冲产生电路有 13、触发器有个稳态,存储8位二进制信息要个触发器。 14、常见的脉冲产生电路有,常见的脉冲整形电路 有、。 15、数字电路按照是否有记忆功能通常可分为两 类:、。 16、寄存器按照功能不同可分为两类:寄存器和寄 存器。 17、逻辑函数F== 18、触发器有两个互补的输出端Q、,定义触发器的1状态 为,0状态为,可见触发器的状态指的是端的状态。 19、一个触发器可以记忆位二进制代码,四个触发器可以记忆位二进 制代码。 20、主从JK触发器的特性方程。 21、时序逻辑电路按照其触发器是否有统一的时钟控制分为时 序电路和时序电路。 22、为了实现高的频率稳定度,常采用振荡器;单稳态触 发器受到外触发时进入态。 23、触发器有个稳态,存储8位二进制信息要个触发器。 24、逻辑函数的化简有,两种方法。 25、组合逻辑电路没有功能。 26、主从JK触发器的特性方程,D触发器的特性方

《数字电子技术》期末考试题及答案(经典)

xxx~xxx学年第x学期 《数字电子技术》期末复习题 第一部分题目 一、判断题(每题2分,共30分。描述正确的在题号前的括号中打“√”,错误的打“×”)【】1、二进制有0 ~ 9十个数码,进位关系为逢十进一。 【】2、(325)8 >(225)10 【】3、十进制数整数转换为二进制数的方法是采用“除2取余法”。 【】4、在二进制与十六进制的转换中,有下列关系:(100111010001)2=(9D1)16 【】5、8421 BCD码是唯一能表示十进制数的编码。 【】6、十进制数85的8421 BCD码是101101。 【】7、格雷码为无权码,8421 BCD为有权码。 【】8、数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。 【】9、逻辑变量的取值,1比0大。 【】10、在逻辑代数中,逻辑变量和函数均只有0和1两个取值,且不表示数量的大小。【】11、逻辑运算1+1=1 【】12、逻辑运算A+1+0=A 【】13、因为逻辑表达式A+B+AB=A+B成立,所以AB=0成立。 【】14、在时间和幅度上均不连续的信号是数字信号,所以语音信号是数字信号。 【】15、逻辑函数的运算次序为:先算括号内,后算括号外;先求与,再求或,最后求非。【】16、AB A C BC AB A C ++=+ 【】17、逻辑函数表达式的化简结果是唯一的。 【】18、逻辑真值表、逻辑表达式、逻辑图均是逻辑关系的描述方法。 【】19、n个变量组成的最小项总数是2n个。 【】20、逻辑函数的化简方法主要有代数化简法和卡诺图化简法。 【】21、逻辑函数化简过程中的无关项一律按取值为0处理。 【】22、数字电路中晶体管工作在开关状态,即不是工作在饱和区,就是工作在截止区。【】23、TTL或非门的多余输入端可以接高电平。 【】24、某一门电路有三个输入端A、B、C,当输入A、B、C不全为“1”时,输出Y为“0”,输入A、B、C全为高电平“1”时,输出Y为“1”,此门电路是或门电路。【】25、将三输入与非门中的两个输入端都接高电平,就可以实现非门功能。 【】26、基本的逻辑关系有与、或、非三种,其实现单元电路分别为与非门和或非门两种。【】27、CMOS门电路的输入电流大于TTL门电路的输入电流。 【】28、组合逻辑电路的基本组成单元是门电路。 【】29、组合电路没有记忆功能。 【】30、组合电路是一种具有记忆功能的逻辑电路。

相关文档
相关文档 最新文档