文档库 最新最全的文档下载
当前位置:文档库 › 电力电子技术实验大纲

电力电子技术实验大纲

电力电子技术实验大纲
电力电子技术实验大纲

电力电子技术实验大纲

电气信息学院专业中心实验室

2015版

我们需要什么样的专业课实验报告书?

进入大三以后,我们会面对很多专业课程实验项目,如何让这些实验的报告体现出它应该有的“专业”性?

撇开具体的实验内容不谈,实验报告应该具有以下共同的特质:

1.报告具有尽可能丰富的实验信息。

实验的工作条件——什么能力的设备及仪表、实验团队的分工构成——协作和管理、实验预期结果——工作的目标和期望等等,这些都是成就一个专业工程师必要和有益的铺垫。

2.实验数据的处理手段应体现专业性。

面对大量的数据处理和分析,必须充分利用信息化手段,请从本次实验起摒弃手绘坐标纸之类的落后方法,不要让报告失去应有的客观性和专业性。

3.实验结果不能如同陈列的僵尸躺在报告上,应该赋予它鲜活的血肉和生命力。

●测试结果(数据或波形图等)应附有明确的测试条件说明,应有足够的量纲标识;

●实验结果与理论预期的比对是必要的,误差分析应该是实实在在的,不要务虚;

●实验结果说明了什么?请拿出你的评估和看法,如同一场电影看罢,总会有所评价一样,提炼和升华是学

习的高层次境界;

●对现有实验方案的优劣应有所思考,可以试试提出优化方案或展望;

●数据结果可以不准确,方案可以不完美,但发自内心原生态的思考是绝对不可或缺的和最最重要的,它是

一份报告的价值所在,也为老师所乐见。

4.一次实验是有限的,但对它的思考应该是无限的。

报告在具备基本要素的前提下,不要太拘泥于固定的模板格式,不要太局限于实验本身的范畴,如果报告上出现了由此而衍生的许许多多,例如扩展虚拟仿真实验、扩展方案的讨论、扩展的器件或设备描述、扩展的应用案例、扩展的数据分析…,对于教授者和学业者,就是莫大的幸事。如果你是这样做的,就不用去重复做许多泛泛的实验,举一反三即可。

5.请强化报告的可读性,表现出你的热忱和投入。

写作考虑到读者的体验度了吗?要尽可能提升条理性和可视性,不要提交一份只有自己才能读懂的报告,当你调用所有的资源和手段投入到这份报告之中时,或许能从中读出你的热情和心血,我就该向你致敬了。

一句话概之,请让我能从你的报告中感受到灵魂的跳跃……

实验一、单相半控桥整流电路实验

一、主要内容

1.实现控制触发脉冲与晶闸管同步;

2.观测单相半控桥在纯阻性负载时的移相控制特点,测量最大移相范围及输入-输出特性;

3.观测单相半控桥在阻-感性负载时的输出状态,制造失控现象并讨论解决方案。

二、方法和要领

1.实现同步:

◆从三相交流电源进端取线电压Uuw(约230v)到降压变压器(MCL-35),输出单相电压(约124v)作为

整流输入电压u2;

◆在(MCL-33)两组基于三相全控整流桥的晶闸管阵列(共12只)中,选定两只晶闸管,与整流二极管阵

列(共6只)中的两只二极管组成共阴极方式的半控整流桥,保证控制同步,并外接纯阻性负载。

思考:接通电源和控制信号后,如何判断移相控制是否同步?

2.半控桥纯阻性负载实验(负载保持最大电阻值):

◆最大移相范围测试:调整控制量u ct,测量并记录Ud、α的有效边界值,用数码相机记录α最小、最大和

90o时的输出电压u d波形(注意:负载电阻不宜过小,确保当输出电压较大时,Id 不超过0.6A);

思考:如何利用示波器测定移相控制角的大小?

◆输入-输出特性测试:在最大移相范围内,调节不同的控制量u ct,分别测量控制角α、输入交流电压u2、

控制信号u ct和整流输出Ud的大小,要求有效数据不低于8组。

思考:如何规划有价值的数据采样分布规律?——在报告中加以说明。

3.半控桥阻-感性负载(负载加入L=200mH)实验:

◆观测并记录α最小、最大和90o时的输出电压u d波形,观察其特点(Id ≤0.6A);

◆固定α=90o,调节负载电阻由最大逐步减小(使输出电流分别处于断续、临界连续和连续0.5A三种情况,

Id ≤0.6A)。对应三个不同负载阻抗角条件,记录电流id波形,测量变压器原边功率因数cosφ1、有功功率P1和负载电阻R L,并核算对应的ωL、tgΦ和输出电流纹波系数。

思考:如何在负载回路获取负载电流的波形?

◆保持控制角α<90o,调整负载电阻使输出电流达到较大值Id≈0.6A(为什么?),突然断掉两路晶闸管的

脉冲信号(模拟封锁脉冲),制造失控。记录失控前后的u d波形,提出测试方法判断哪一只晶闸管失控。

三、实验报告要求

1.实验基本内容(实验项目名称、已知条件及实验完成目标)。

2.实验条件描述(主要设备仪器的名称、型号、规格等;小组人员分工:主要操作人、辅助操作人、数据记录人和报告完成人等)。

3.实验过程描述(含每个步骤的实验方法、电路原理图、使用仪器名称型号、使用量程等)。

4.实验记录及数据处理(含电路波形、原始数据记录单、计算处理及工程特性曲线等)。

5.实验综合评估(分析实验与理论数据的偏差,评估实验方案及结果的可信度,提出可能的优化改进方案等)。6.实验虚拟仿真(软件自选),完成对应实验条件下电路各典型波形的测试和观察。

7.实验研讨必修问题:

◆同步控制:阐述选择实验面板晶闸管序号构成半控桥的依据。

◆理论和实际:测绘电阻负载时u d = f (α)和u d = f (u ct)的实验特性曲线,将实验u d = f (α)与理论推算u d =

f (α)特性曲线(在同一坐标系内)相比较,分析差异性成因。

◆阻感负载:分析阻-感性负载时,输出电流波形id与教材所示是否有差异,为什么?电路能否接纯感性负

载工作(如果有足够大的电感量),为什么?

◆功率:“阻—感负载”第2小项目中,判断并分析变压器cosφ1的变化是否取决于负载tgΦ的变化?

◆数据提炼:若以u d = f (u ct)的实验特性曲线作为该直流受控电源的静态数学模型建模依据——直流电压

放大器,试提出建模方案,并核定该模型的近似放大系数Ks≈?

实验二、三相全控桥整流及有源逆变实验

一、主要内容

1.观测分析整流状态下(阻性负载、阻-感性负载)u d,u VT波形;

2.观测分析逆变状态下(阻-感性-反电动势负载)u d,u VT波形及逆变功率测量;

二、方法和要领

1.连接三相整流桥及逆变回路

◆由三相隔离变压器(MCL-32)二次绕组接至三相降压变压器(MCL-35),输出三相电源(线电压约110~130v)

作为三相变流桥的交流输入;

◆由三相隔离变压器(MCL-32)二次绕组接至由二极管组成的三相不可控全波整流桥,作为逆变时负载回路的

电动势源(大小恒定的电压源);

◆由双刀双置开关构成整流和逆变选择回路(严禁主回路带电时切换此开关);

◆约定整流、逆变临界控制点为Uct = 0,当Uct﹥0时,处于整流移相控制;Uct﹤0时处于逆变移相控制:

2.整流工作

◆阻性负载测试:双置开关选择整流回路,负载电阻设定为最大,加正给定电压。

1)观测并记录整流状态下α≈0O,60O,90O时u d、u VT波形(注意限制Id≤0.8A);

2)α≈0O时封锁任1只晶闸管的脉冲信号,记录u d的波形及大小值;

3)α≈0O时封锁任2只晶闸管的脉冲信号,记录u d的波形及大小值;(一次:共阴极组2只;一次:阴极阳极组

各1只)

◆阻-感(300Ω+ 700mH )负载测试:双置开关选择整流回路,观测并记录α=30O,90O时u d、u VT波形(注意限

制Id≤0.8A);α= 0O时任意封锁1只和2只晶闸管的脉冲信号,记录u d的波形及大小值。

3.逆变工作

断掉主回路电源,将负载回路切换到逆变条件,注意逆变电动势源的直流极性。

◆选负给定信号,负载为(最大电阻+700mH),合上电源,观测逆变状态下β=60O,90O时u d,u VT波形;

◆在恒定负载情况下(最大电阻+电感700mH,直流反电动势E基本恒定),在最大逆变移相范围内,测定电网

实际吸收直流功率Pk = f (Ud)的函数曲线(不低于8组数据点)。已知,三相全控桥电源回路输出端等效内阻Rn=26Ω。

思考:如何近似估算电网吸收的电功率?

三、实验报告要求:

1.实验项目名称

2.实验基本内容(已知条件及实验要求)

3.实验条件描述(主要设备仪器的名称、型号、规格等;小组人员分工:主要操作人、辅助操作人、数据记录人

和报告完成人)

4.实验过程描述(含每个步骤的实验方法、电路原理图、使用仪器名称型号、使用量程等);

5.实验数据处理(含原始数据清单、计算结果、特性曲线等);

6.误差分析(对实验方案、结果进行可信度分析,提出可能的优化改进方案);

7.实验之后进行如下讨论:

◆分析比较整流工作时,阻性负载和阻感负载再缺相(丢失一路触发信号)故障下,Ud瞬时波形的差异性?

◆整流状态下阻-感负载时,α=90O时ud的瞬时波形一定有正负半波对称吗,为什么?

◆说明逆变状态下,逆变电源的负载波形是电路上哪两端的波形?为什么逆变输出电压Ud越高,负载电流Id越

小?

◆做出Pk = f (Ud)特性曲线,并对其变化趋势作定性分析。

实验三 半桥型开关稳压电源的性能研究

一、

主要内容

1. 熟悉PWM 专用芯片SG3525的基本功能和应用特色,测试其典型功能端波形; 2. 测试和分析半桥型开关电源在开环和闭环两种模式下的输出性能

二、

主要实验内容和技术要领

i. PWM 控制芯片SG3525的特性测试

1) 连接:选择SG3525工作于“半桥电源”模式,短接误差调节器PI 参数反馈端(屏蔽PI 调节)。

2) 测试:接通SG3525工作电源。用示波器分别观察锯齿波振荡器观测点和A (或B )路PWM 信号的波形,并记录波形的频率和峰值,调节“脉冲宽度调节”电位器,记录其占空比可调范围(最大、最小占空比)。

3) 连接:断开SG3525工作电源,将光电藕输出信号端与半桥电路中的Power-MOSFET 管正确相连。

4)

测试:再次接通接通SG3525工作电源,观察Power-MOSFET 管VT 1的驱动控制信号U GS ,记录波形周期宽

度T 、幅值U GS 及上升t r 、下降时间t f 。 ii. 构成开环电压系统向负载供电

1) 连接:确认主电路和控制电路的电源开关处于断开状态,将“主电源1”的输出端链接至半桥电路的输入端,连接半桥输出负载R1+R2(负载电阻约为3+30Ω)。 2)

测试:分别接通主电路和控制电路的电源,调节“脉冲宽度调节”电位器,在不同占空比情况下,记录占空

比和输出电源电压u o 大小(不低于8组数据)。 iii. 构成闭环电源系统,测试稳压性能

1)

连接:开放误差调节器PI 参数反馈端,从“半桥型开关稳压电源”输出端“13”取电压反馈信号连至SG3525

的反馈输入“2”端,并将“半桥型稳压电源”的“9”端和“PWM 波形发生”的地端相连(共地)。

2)

测试:连接半桥输出端的3Ω负载电阻(R1),调节PWM 占空比使电源输出端电压u 0为5V ——作为输出标

准值;然后将负载电阻改变至33Ω(R1+R2),测量输出电压u 0的值,计算负载阻抗变化约十倍时的负载调整率(抗负载变化的电压稳定能力):

%10050

0?-U V

U 断开输出端“13”电压反馈信号,重新屏蔽误差调节器反馈输入端,回复到开环状态,重复上述3Ω和33Ω不同负载时“5V ”输出电压的负载调整率。与闭环系统的结果进行比较。

三、

实验报告要求

1. 实验基本信息(项目名称、已知条件及实验要求)。

2. 实验条件描述(主要设备仪器的名称、型号、规格等;小组人员分工:主要操作人、辅助操作人、数据记录人

和报告完成人)。

3. 实验过程描述(含每个步骤的实验方法、电路原理图等)。

4. 实验数据处理(含原始数据清单、计算结果及工程特性曲线,注:利用数据处理软件自动生成)。 5. 误差分析(分析方案、方法、仪器、操作等可能带入的必然、偶然误差因素)。

6.实验之后:

●根据实验数据,生成开环时Uo=f (σ%)的函数曲线(负载为R1+R2,不少于8组数据点)。

●为什么在2、3步要分别将“误差调节器”的“3”,“4”两点短接或断开?分析闭环后的稳压控制是如何

实现的。

●在系统开环工作状态下,当PWM占空比σ% < 25%时,记录变压器原边电压波形和VT1的驱动信号波形,

判断它们是否同步,并分析原因。(选作项目)

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

电工电子技术实验指导书

电工电子技术 实验指导书 目录 实验一基尔霍夫定律的验证 实验二叠加原理的验证 实验三用三表测量电路等效参数 实验四正弦稳态交流电路相量的研究 实验五三相交流电路电压、电流的测量 实验六三相鼠笼异步电动机正反转控制电路 实验七单级放大电路 实验八比例、求和运算电路 实验九门电路 实验十实验十一实验十二触发器 计数器 译码显示电路

《电工电子技术》课程实验指导书 使用说明 《电工电子技术 I 》实验指导书适用于机械制造及其自动化本科专业和专科专业,共有验证型实验 12 个,综合型实验 0 个、设计型实验 0 个。其中机械制造及其自 动化专业实验 10 学时,实验 / 理论学时比为 20/104 ,包括基尔霍夫定律的验证、叠加原理的验证、用三表测量电路等效参数、正弦稳态交流电路相量的研究和三 相交流电路电压、电流的测量三相鼠笼异步电动机正反转控制电路、单管交流 放大电路、比例求和电路、门电路、触发器、 计数器、译码显示电路等 12 个实验项目。本电工实验现有主要实验设备 8 台(套),每轮实验安排学生 15 人,每组 2-3 人,本电子实验现有主要实验设备 16 台(套),每轮实验安排学生 30 人,每组 2 人,每轮实验需要安排实验指导教师 2 人。

实验一 实验学时: 2 实验类型:验证型 实验要求:(选修) 一.实验目的 1 2 二.实验设备 1.直流电压表0~ 20 2.直流毫安表 3.恒压源(+6V,+12V,0~30V) 4. EEL — 01 组件(或EEL—16 组件) 三.原理说明 基尔霍夫定律是电路的基本定律 ,测量某电路的各支路电流及多个元件两端的电压,应能分别满足基尔霍夫电流定律和电压定律。即对电路中的任一个节点而言 ,应有∑ I= 0;对任何一个闭合回路而言,应有∑ U=0 四.实验内容 实验线路如图 1—1 1.实验前先任意设定三条支路的电流参考方向,如图中的I 1、I 2、 I 3所示,并熟悉线路结构,掌握 F I1510ΩA1kΩ I 2B +R1R2 + 6V E1E212V -R3510Ω- 510Ω330Ω I 3 E R4D R5C 图 1—1 2.分别将 E1、E2两路直流稳压源(E1为 +6V , +12V 切换电源, E2接 0~ 30V 可调直流稳压源)接入电路,令 E1= 6V, E2= 12V 3.熟悉电源插头的结构,将电流插头的两端接至数字毫安表的“+、-”两端。 4 5.用直流数字电压表分别测量两路电源及电阻元件上的电压值,记入数据表中 待测量 I 1(mA) I 2(mA) I 3(mA)R1(V)R2(V)V AB (V) V CD (V) V AD (V) V DE (V) V FA (V) 计算值 测量值 相对误差 五.实验注意事项 1.所有需要测量的电压值,均以电压表测量的读数为准,不以电源表盘指示值为 2 3.若用指针式电流表进行测量时,要识别电流插头所接电流表的“+、-”极性,倘若不换接极

电工电子学课程实验教学大纲

《电工电子学》课程实验教学大纲(一) (材料科学专业,环境工程专业,轮机工程,热能与动力专业) 一、课程基本情况 1、课程名称:电工电子学实验 Experimet of Electrotechnics and Electronics 2、课程编号:132000771 3、课程类别:专业基础 4、实验课性质:独立设课 5、课程总学时:材料科学专业,环境工程专业80学时,轮机工程,热能与动力122学时 6、实验学时: 32学时, 7、实验学分:1学分 8、先修或同修课程:高等数学,物理学,电工电子学 9、适用专业:材料科学专业,环境工程专业,轮机工程,热能与动力专业 10、大纲执笔:应用电子教研室王艳红职称:副教授 11、大纲审批: 12、制定时间:2006年3月19日 二、实验教学目的和任务 《电工与电子学》是非电类专业一门很强的技术基础课程,其实验是课程的重要部分,是非电类专业的必修课。 随着科学技术的迅速发展,理工科大学生不仅需要掌握电路与电子学方面的基本理论,而且还需要掌握基本的实验技能及一定的科研能力。通过该课程的学习,使学生巩固和加深电路与电子学的基本知识,通过实践进一步加强学生独立分析问题和解决问题的能力、综合设计及创新能力,其中以培养学生实践基础和实践理论为主,为专业实践能力、创新能力,奠定扎实的基础。同时注意培养

学生实事、严肃认真的科学作风和良好的实验习惯,为今后工作和学习后续课程打下良好的基础。 三、实验教学基本要求 本课程是非电类专业的技术基础课程,根据非电类专业的特点及要求。它把测量方法、仪器仪表的原理及使用融在相应的实验中,培养学生的实际工作能力。通过课程的实践与教学,学生应达到以下要求。 1、进一步巩固和加深对电路、模拟电子技术、电机、继电接触控制基本知识的理解,提高综合运用所学知识、独立设计电路的能力。 2、掌握仪器仪表的工作原理,能正确使用仪器设备,掌握测试方法和测试技能。 3、能独立撰写实验报告书,准确地分析实验结果,正确地绘制实验曲线和实验电路。 4、课前做好预习,明确实验容和实验目的。 四、实验项目表及学时分配 本课程试验共安排47学时,其中23学时为必选实验,24学时为可选实验,按教学计划要求不同专业学生分别完成实验学时数为32学时和12学时(少学时专业的实验在必做中选定实验).。

电力电子技术实验

《电力电子技术》实验指导书 指导教师:王跃鹏李向丽 燕山大学电气工程学院 应用电子实验室 二零零四年七月

实验一 锯齿波同步移相触发电路实验 一、实验目的 1、加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 2、掌握锯齿波同步触发电路的调试方法。 二、实验内容 1、锯齿波同步触发电路的调试。 2、锯齿波同步触发电路各点波形观察、分析。 三、实验线路及原理 锯齿波同步移相触发电路主要由脉冲形成和放大、锯齿波形成、同步移相等环节组成。 四、实验设备及仪器 1、MCL-Ⅲ型交流调速系统实验台 2、MCL-32组件 3、MCL-31组件 4、MCL-05组件 5、双踪示波器 五、实验方法 1、将MCL-05面板上左上角的同步电压接入MCL-32的U 、V 端,并将MCL-31的“g U ”和“地”端分别接入MCL-05的“ct U ”和“7”端,“触发电路选择”拨向“锯齿波”。 2、合上主电路电源开关,并打开MCL-05面板右下角的电源开关,用示波器观察各观测孔的电压波形,示波器的地线接于“7”端。 同时观测“1”、“2”孔的波形,了解锯齿波宽度和“1”点波形的关系。 观察“3”~“5”孔波形,调节RP1,使3”的锯齿波刚出现平顶,记下各波形的幅值与宽度。 六、实验报告 整理,描绘实验中记录的各点波形。

实验二 单相桥式全控整流电路实验 一、实验目的 1、了解单相桥式全控整流电路的工作原理。 2、研究单相桥式全控整流电路在电阻负载、阻感负载时的工作特点。 二、实验内容 1、单相桥式全控整流电路供给电阻负载。 2、单相桥式全控整流电路供给阻感负载。 三、实验线路及原理 单相桥式全控整流电路的实验线路如图2-1所示,其工作原理可参见“《电力电子技术》(第四版,王兆安、黄俊编)”教材。 四、实验设备及仪器 1、MCL-Ⅲ型交流调速系统实验台 2、MCL-32组件 3、MCL-31组件 4、MCL-05组件 5、双踪示波器 五、实验方法 1、单相桥式全控整流电路供给电阻负载。 按照图2-1接线,接上电阻负载(采用MEL-03上的两只900Ω的电阻并联),并将负载电阻调至最大,短接平波电抗器。合上主电路电源,调节给定电压g u 的大小,观察不同α角时的整流电路的输出电压波形)(t f u d =,以及晶闸管的端电压波形)(t f u T =。 2、单相桥式全控整流电路供给阻感负载。 按照图2-1接线,接上阻感负载(电感选择700mH ,电阻采用MEL-03上的两只900Ω的电阻并联),并将负载电阻调至最大。合上主电路电源,调节给定电压g u 的大小,观察不同α角时的整流电路的输出电压波形)(t f u d =,以及晶闸管的端电压波形 )(t f u T =。 六、实验报告

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

《电力电子技术》教学大纲(2017)

《电力电子技术》教学大纲 课程编号:131504269 课程类型:专业必修课 课程名称:电力电子技术学分:4 适用专业:电气自动化技术 第一部分大纲说明 一、课程的性质、目的和任务 本课程是电气自动化技术专业的专业必修课,主要目的和任务是使学生熟悉各种电力电子器件的特性和使用方法;掌握各种电力电子电路的结构、工作原理、控制方法、设计计算方法及实验技能;熟悉各种电力电子装置的应用范围及技术经济指标。 二、课程的基本要求 1.熟悉和掌握晶闸管、电力MOSFET、IGBT等典型电力电子器件的结构、原理、特性和使用方法; 2.熟悉和掌握各种基本的整流电路、直流斩波电路、交流电力变换电路和逆变电路的结构、工作原理、波形分析和控制方法; 3.掌握PWM技术的工作原理和控制特性,了解软开关技术的基本原理; 4.了解电力电子技术的应用范围和发展动向; 5.掌握基本电力电子装置的实验和调试方法。 三、本课程与相关课程的联系 通过该课程的学习为《供配电技术》、《电力拖动》等课程准备必要的基础知识。 四、学时分配 本课程学分为4学分,建议开设56学时。

五、教材与参考书 教材:《电力电子技术》(第5版),王兆安、刘进军主编,机械工业出版社,2009 主要参考书: 1.《电力电子技术习题集》,李先允,陈刚,中国电力出版社,2007 2.《电力电子技术》,黄家善,机械工业出版社,2011 3.《电力电子技术》,高文华,机械工业出版社,2012 六、教学方法与手段建议 本课程是电气自动化技术专业的专业必修课程,主要教学目标是构建学生电力电子技术的基本理论、基本技能和培养学生应用与创新能力。因此,通过改革教学模式、教学内容、教学方法与手段,激发学生学习兴趣和求知欲,增进学习效果,提高学习质量。为此,在教学过程中,要注重理论联系实际,重视工程观点,着重于基本概念的熟悉、基本原理的理解以及系统应用案例的分析设计能力;采用灵活多样的教学方法,因材施教,具体包括:启发式教学法、讨论研究式教学法、多媒体教学法、现场教学法、实物教学法、案例教学法等;积极探索理论和实践相结合的教学模式,使理论学习和技能训练与生产生活中的实际应用相结合,通过典型知识的实践应用,提高学习兴趣,激发学习动力,掌握相应知识和技能。 七、课程考核方式与成绩评定办法 闭卷考试。平时成绩:30%;期末考试成绩:70%(笔试,闭卷)。 第二部分课程内容大纲 第一章绪论(2学时) 一、教学目的和要求 掌握电力电子技术的基本概念、学科地位、基本内容;了解电力电子技术的发展史;了解电力电子技术的应用、电力电子技术的发展前景;了解本教材的内容。 二、教学内容 1.电力电子技术的基本概念、学科地位、基本内容和发展历史; 2.电力电子技术的应用范围;

电工电子技术实验

电工电子技术实验 一、实验目的 1、掌握常用电工仪表测量电压、电流,学会根据实验电路图 联接实验电路。 2、验证线性电路叠加原理的正确性,加深对线性电路的叠加 性和齐次性的认识。 二、实验原理: 1、叠加原理:几个电势共同作用的线性电路,任一支路的电 流(电压)等于各个电势单独作用在该支路所产生的电流(电压)的代数和。 2、线性电路的齐次性是指当激励信号(某独立源的值)增加 或减小K倍时,电路的响应(即在电路中各电阻元件上所建立的电流和电压值)也将增加或减小K倍。 三、实验器材序号名称型号与规格数量备注1直流稳压电源0"30V可调22万用表1 (自备)3直流数字电压表0、200V14直流数字毫安表0~200mA15叠加原理实验线路板1 (DGJ-03) 四、实验内容实验线路如图(DGJ-03挂箱的“基尔霍夫定律/ 叠加原理”线路)。 1、将两路稳压源的输出分别调节为12V和6V,接入U1和U2 处。

2、令U1电源单独作用(将开关K1投向U1侧,开关K2投向 短路侧)。用直流数字电压表和毫安表(接电流插头)测量各支路电流及各电阻元件两端的电压,数据记入下表。 测量项目实验内容 U1(V)U2(V)I1(mA)12(mA)13(mAUAB(V)UCD(V)UAD(V)UDE(V)UFA(V) U1单独作用U1单独作用U1 U2共同作用2U2作用 3、令U2电源单独作用(将开关K1投向短路侧,开关K2投向U2狈U),重复实验步骤2的测量和记录,数据记入上表。 4、令U1和U2共同作用(开关K1和K2分别投向U1和U2 侧),重复上述的测量和记录,数据记入表1-1。 5、将U2的数据调至+12V,重复上述第3项的测量和记录,数据记入上表。 五、实验报告 1、根据实验数据表格进行分析、比较、归纳、总结实验结 论,即验证线性电路的叠加性和齐次性。 2、各电阻器所消耗的功率能否用叠加原理计算得出?试用上 述实验数据,进行计算并作结论。 3、心得体会及其他。实验二 日光灯电路的测定 一、实验目的 1、掌握日光灯电路的工作原理及电路联接。

《电工电子技术》教学大纲

《电工与电子技术》教学大纲 课程名称:电工电子技术课程类别:职业基础课 学时: 88 学分: 4.5 适用专业:机械类所有专业 先修课程:工程数学(含线代) 一、课程教学目标 《电工电子技术》是一门具有较强实践性的职业基础课程。通过本课程的学习,学生可以获得电工和电子技术的基本理论和基本技能。为学习后续课程和专业课打好基础,也为今后从事工程技术工作和科学研究奠定一定的理论基础。 课程的任务在于培养学生的科学思维能力,树立理论联系实际的工程观点,提高学生分析问题和解决问题的能力。 二、教学内容及基本要求 1、电路 (1)了解电路的作用和组成,电路的三种状态。 (2)了解电路主要物理量的定义。 (3)掌握电流、电压的参考方向。 2、电路的基本元件 (1)了解电阻、电感和电容元件的特性。 (2)掌握电源的两种模型及外特性。 3、电路的基本定律 (1)了解欧姆定律和基尔霍夫定律的主要内容。 (2)掌握用欧姆定律和基尔霍夫定律分析电路的方法。 4、电路的分析方法 (1)掌握用支路电流法,叠加原理,戴维南定理分析电路。 (2)学会运用电压源、电流源的互换方法。 5、正弦交流电路基础 (1)掌握正弦量的相量表示法。 (2)了解正弦量的三要素。 (3)掌握分析单一参数元件的交流电路。 6、正弦交流电路的分析方法 (1)了解谐振的基本概念以及RLC串联电路与并联电路的谐振条件和特点。 (2)掌握RLC串联电路中电压与电流的关系及功率的计算。 (3)掌握阻抗串、并联电路的分析、计算方法,提高功率因数的方法。 7、三相正弦交流电路 (1)了解了解三相交流电的产生。 (2)掌握三相交流电的表示方法。 (3)掌握负载两种连接形式的相、线电压,相、线电流的关系。

电力电子技术实验-打印的

电力电子技术实验-打印的-标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

实验一单结晶体管触发电路实验 一、实验目的 (1) 熟悉单结晶体管触发电路的工作原理及各元件的作用。 (2) 掌握单结晶体管触发电路的调试步骤和方法。 序号型号备注 1 DJK01 电源控制屏该控制屏包含“三相电源输出” 等几个模块。 2 DJK0 3 晶闸管触发电路该挂件包含“单结晶体管触发电 路”等模块。 3 双踪示波器自备 图1-8 单结晶体管触发电路原理图 由同步变压器副边输出60V的交流同步电压,经VD1半波整流,再经稳压管V1、V2进行削波,从而得到梯形波电压,其过零点与电源电压的过零点同步,梯形波通过R7及等效可变电阻V5向电容C1充电,当充电电压达到单结晶体管的峰值电压Up时,单结晶体管V6导通,电容通过脉冲变压器原边放电,脉冲变压器副边输出脉冲。同时由于放电时间常数很小,C1两端的电压很快下降到单节晶体管的谷点电压Uv使V6关断,C1再次充电,周而复始,在电容c1两端呈现锯齿波形,在脉冲变压器副边输出尖脉冲。在一个梯形波周期内,V6可能导通、关断多次,但对晶闸管的触发只有第一个输出脉冲起作用。电容C1的充电时间常数由等效电阻等决定,调节RP1改变C1的充电时间,控制第一个尖脉冲的出现时刻,实现脉冲的移相控制。单结晶体管触发电路的个点波形略。 四、实验内容 (1) 单结晶体管触发电路的调试。

(2) 单结晶体管触发电路各点电压波形的观察。 五、思考题 (1) 单结晶体管触发电路的振荡频率与电路中 C1 的数值有什么关系 答:在一个梯形波周期内,V6可能导通、关断多次,但对晶闸管的触发只有 第一个输出脉冲起作用。电容C1的充电时间常数由等效电阻等决定,调节RP1 改变C1的充电时间,控制第一个尖脉冲的出现时刻,实现脉冲的移相控制。(2) 单结晶体管触发电路的移相范围能否达到180° 答:能 六、实验方法 (1) 单结晶体管触发电路的观测 将 DJK01 电源控制屏的电源选择开关打到“直流调速”侧 , 使输出线 电压为 200V (不能打到“交流调速”侧工作,因为 DJK03 的正常工作电源电压为220V ± 10% ,而“交流调速”侧输出的线电压为 240V 。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“ DZSZ-1 型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到 220V 左右,然后才能将电源接入挂件),用两根导线将 200V 交流电压接到 DJK03 的“外接220V ”端,按下“启动”按钮,打开 DJK03 电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察单结晶体管触发电路,经半波整流后“ 1 ”点的波形,经稳压管削波得到“ 2 ”点的波形,调节移相电位器 RP1 ,观察“ 4 ”点锯齿波的周期变化及“ 5 ”点的触发脉冲波形;最后观测输出的“ G 、K ”触发电压波形,其能否在30° ~ 170° 范围内移相 (2) 单结晶体管触发电路各点波形的记录

电工电子技术实验指导书新100518

《电工电子》实验指导书 海南经贸职业技术学院 二○一○年三月十二日

实验一 万用表的使用 ——直流电压、直流电流和电阻的测量 一、实验目的 1.学会对万用表转换开关的使用和标度尺的读法,了解万用表的内部结构; 2.学会较熟练地使用万用表正确测量直流电和直流电流; 3.学会较熟练地使用万用表正确测量电阻。 二、实验器材 1.万用表 一块 2.面包板 一块 3.恒压电压源 一台 4.导线 若干根 5.电阻 若干只 三、实验内容及步骤 图1-1 1.电阻的测量 (1)未接成电路前分别测量图1-1电路的各个电阻的电阻值,将数据记录在表1;再按图1-1所示连成电路,并将图中各点间电阻的测量和计算数据记录在表2中,注意带上单位。 表1-1电阻测量 2.直流电流、电压的测量 开启实训台电源总开关,开启直流电源单元开关,调节电压旋钮,对取得的直流电源进行测量,测量后将数据填入表1-2中。 2 U S 2

万用表:主要用来测量交流直流电压、电流、直流电阻及晶体管电流放大位数等。现在常见的主要有数字式万用表和机械式万用表两种。 (1)数字式万用表 在万用表上会见到转换旋钮,旋钮所指的是次量的档位: V~:表示的是测交流电压的档位 V- :表示的是测直流电压档位 MA :表示的是测直流电压的档位 Ω(R):表示的是测量电阻的档位 HFE :表示的是测量晶体管电流放大位数 万用表的红笔表示接外电路正极,黑笔表示接外电路负极。优点:防磁、读数方便、准确(数字显示)。 (2)机械式万用表 机械式万用表的外观和数字表有一定的区别, 但它们俩的转挡旋钮是差不多的,档位也基本相同。在机械表上会见到有一个表盘,表盘上有八条刻度尺: 标有“Ω”标记的是测电阻时用的刻度尺 标有“~”标记的是测交直流电压.直流电流时用的度尺刻 标有“HFE”标记的是测三极管时用的刻度尺 标有“LI”标记的是测量负载的电流.电压的刻度尺 标有“DB”标记的是测量电平的刻度尺 (3)万用表的使用 数字式万用表:测量前先打到测量的档位,要注意的是档位上所标的是量程,即最大值; 机械式万用表:测量电流、电压的方法与数学式相同,但测电阻时,读数要乘以档位上的数值才是测量值。例如:现在打的档位是“×100”读数是200,测量传题是 200×100=20000Ω=20K,表盘上“Ω”尺是从左到右,从大到小,而其它的是从左到右,从小到大。 (4)注意事项 调“零点”(机械表才有),在使用表前,先要看指针是指在左端“零位”上,如果不是,则应小改锥慢慢旋表壳中央的“起点零位”校正螺丝,使指针指在零位上。 万用表使用时应水平放置(机械才有),测试前要确定测量内容,将量程转换旋钮旋到所示测量的相应档位上,以免烧毁表头,如果不知道被测物理量的大小,要先从大量程开始试测。表笔要正确的插在相应的插口中,测试过程中,不要任意旋转档位变换旋钮,使用完毕后,一定要将不用表档位变换旋钮调到交流电压的最大量程档位上。测直流电压电流时,要注意电压的正、负极、电流的流向,与表笔相接 (时)正确,千万不能用电流档测电压。在不明白的情况下测交流电压时,再好先是从大的挡位测起,以防万一。

电工电子技术课程标准与实训大纲

电工电子基础课程标准 课程编码: 课程类别: 适应专业:应用电子专业 开设时间: 学时数:51 一、课程概述 (一)课程性质 《电工电子基础》是应用电子专业的专业基础课,它在先导课和后续课之间起承上启下的作用。是应用电子学生学习其它专业课程的电学基础。 (二)课程基本理念 本课程的建设采取以知识内容的组合为基础的模块化课程建设方式。模块化结构相对独立又相互关联,可拆拼组合适应不同专业的需要。本课程设置电路分析基础、电工技术基础、电子技术基础三个内容模块。这三个模块不仅符应用电子专业的需要,也是可以增设其它模块以满足其它专业的需要。让学生系统掌握电工电子基础,为后续专业课程的学习打下坚实的基础。 (三)课程设计思路 本专业毕业生主要面向电子设备生产企业和经营单位,从事一般电子设备的装配、调试、检测和维修工作,以及电子产品、元器件的采购和销售工作。也可以从事一些电工相关的行业。分析岗位群对电工电子基础课程相关内容的要求确立课程的内容知识点。 本课程设计主要分模块以多媒体教学与实验教学相结合的教学方法。根据各模块内容的特点施教,启发式教学贯穿始终。本课程理论性较强,要求教师在教学过程中要充分重视课堂教学,重点放在引导学生如何面对一个电系统的整体角

度下手分析问题并解决,引导学生能够解决应用上可能出现的问题。将传授知识和发展能力结合起来,通过各项目加深学生对知识的真正理解。 二、课程目标 1、总目标 《电工电子基础》课程是应用电子专业学生学习其它专业课程的电学基础,其教学目标主要是使学生通过本课程的学习掌握电工与电子电路的基本知识和基本操作技能,学会运用本课程的相关知识分析问题和解决问题。 2、具体目标 (一) 知识教学目标 1.掌握直流电路和交流电路的基本概念、基本原理。 2.学会直流电路和交流电路的基本分析和计算方法 3.掌握变压器的基本结构、工作原理和简单计算方法 4.掌握电动机的基本结构和工作原理 5.掌握低压电器的基本结构、基本性能和主要工作原理 6.掌握电动机基本控制电路的组成和工作原理 (二)能力培养目标 1.具有正确使用常用电工电子仪器仪表(电流表、电压表、万用表、示波器等)的能力 2.具有正确测量基本电学量(电阻、电流、电压、电功率、电能)的能力 3.具有正确识读和分析常用电工电子电路图,并完成有关电路参数计算的能力 三、内容标准 (一)学习目标: 使学生不仅能掌握各自独立的知识体系、综合知识的应用,而且能通过学习培养自己的设计能力、特别是思维能力的提高,增强创新意识。

电力电子技术实验(课程教案)

课程教案 课程名称:电力电子技术实验 任课教师:张振飞 所属院部:电气与信息工程学院 教学班级:电气1501-1504班、自动化1501-1504自动化卓越1501 教学时间:2017-2018学年第一学期 湖南工学院

课程基本信息

1 P 实验一、SCR、GTO、MOSFET、GTR、IGBT特性实验 一、本次课主要内容 1、晶闸管(SCR)特性实验。 2、可关断晶闸管(GTO)特性实验(选做)。 3、功率场效应管(MOSFET)特性实验。 4、大功率晶体管(GTR)特性实验(选做)。 5、绝缘双极性晶体管(IGBT)特性实验。 二、教学目的与要求 1、掌握各种电力电子器件的工作特性测试方法。 2、掌握各器件对触发信号的要求。 三、教学重点难点 1、重点是掌握各种电力电子器件的工作特性测试方法。 2、难点是各器件对触发信号的要求。 四、教学方法和手段 课堂讲授、提问、讨论、演示、实际操作等。 五、作业与习题布置 撰写实验报告

2 P 一、实验目的 1、掌握各种电力电子器件的工作特性。 2、掌握各器件对触发信号的要求。 二、实验所需挂件及附件 三、实验线路及原理 将电力电子器件(包括SCR、GTO、MOSFET、GTR、IGBT五种)和负载 电阻R串联后接至直流电源的两端,由DJK06上的给定为新器件提供触 发电压信号,给定电压从零开始调节,直至器件触发导通,从而可测得 在上述过程中器件的V/A特性;图中的电阻R用DJK09 上的可调电阻负 载,将两个90Ω的电阻接成串联形式,最大可通过电流为1.3A;直流电 压和电流表可从DJK01电源控制屏上获得,五种电力电子器件均在DJK07 挂箱上;直流电源从电源控制屏的输出接DJK09上的单相调压器,然后 调压器输出接DJK09上整流及滤波电路,从而得到一个输出可以由调压 器调节的直流电压源。 实验线路的具体接线如下图所示:

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

《电力电子技术》教学大纲

教学大纲 课程代码:10120480 课程名称:电力电子技术 学分:3 周学时 2.5-1.0 面向对象:电气工程及其自动化、自动化等电气类专业学生 预修课程要求:电路原理,模拟电子技术基础,数字电子技术基础 一、课程介绍(100-150字) (一)中文简介 《电力电子技术》内容包括功率半导体器件、驱动及保护电路、交流-直流(AC-DC)变换电路、直流-直流(DC-DC)交换电路、直流-交流(DC-AC)变换电路、交流-交流(AC-AC)变换电路、软开关技术等。教学上除考虑课程本身的系统性外,还特别注意在电力电子技术在电力工程中的应用。通过本课程的学习,可掌握各电力电子变换装置的电路结构、基本原理、控制方法、设计计算,为今后从事相关科研工作打下坚实基础。 (二)英文简介 The course introduces power electronic devices, drive and snubber circuits, AC-DC Converters (Rectifiers), DC-DC Converters (Choppers), DC-AC Converters (Inverters ), AC-AC Converters (AC Controllers and Frequency Converters ), soft-switching techniques. Both theoretics and applications of power electronic technology are discussed in this course. The circuit configurations, fundamental theory, control and design methods of power electronic apparatus can be mastered , and a solid foundation for future research can be acquired through studying this course. 二、教学目标 (一)学习目标 电力电子技术横跨“电力”、“电子”与“控制”三个领域,是现代电子技术的基础之一,已被广泛地应用在工农业生产、国防、交通等各个领域,有着极其广阔的应用前景。《电力电子技术Ⅰ》是电类专业重要的专业基础课程。 (二)可测量结果 本课程通过对功率半导体器件、驱动及保护电路、交流-直流(AC-DC)变换电路、直流-直流(DC-DC)交换电路、直流-交流(DC-AC)变换电路、交流-交流(AC-AC)变换电路、软开关技术等内容的学习,使学生能掌握各类电能变换的基本原理,各电力电子变换装置的电路结构、基本原理、控制方法、设计计算;使学生具有初步设计、调试、分析电力电子变流装置的能力。 三、课程要求 (一)授课方式与要求

《电工电子》实训大纲

《电工电子》实训大纲 一、实训的性质、目的与任务 本实训的主要内容是电子工艺实习实训,它是高职通信专业的一门实践性教学课程。它的任务是对学生进行电工技术基本知识和基本技能的训练,使学生具备一定的电工实际操作能力,为学生进一步学习专业知识和职业技能,为参加电工职业技能鉴定考核打下基础。使学生全面掌握电工的基本知识、基本操作、线路与布线的布局与工艺,常用电工设备的使用、安装、检测与维护,电路故障的分析与处理,同时使学生通过本专业的实践知识和基本操作技能训练,注意与生产劳动相结合,重视工艺规程,促进理论联系实际,为生产实习与毕业设计打下良好的基础。 二、教学基本要求 通过电工实训,使学生达到以下基本要求: 1.掌握基本工具的使用方法,常用电工仪表的使用与维护方法。 2.熟悉电工安全操作规程。 3.会使用常用电工仪表。 4.熟练使用万用表对常用低压电器进行检测。 5.能根据电路图,按照工艺要求进行照明与动力配电板的安装。 6.掌握电路焊接的步骤和方法 7.掌握简单电子产品的制作。 四、实训内容 项目一常用仪器仪表的使用(20学时) 1.目的要求 (1)能够正确识别和选用常用的电子器件,并且能够熟练使用普通万用表和数字万用表。 (2)熟练地掌握各种电工工具仪器、仪表的使用与维护。 (3)掌握电工操作规程、安全用电。 (4)培养良好的职业习惯和职业道德,树立正确的价值观。 2.方法原理 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。

浙大电力电子技术实验在线课后复习

您的本次作业分数为:98分单选题 1.【全部章节】三相桥式全控整流电路电感性负载实验中,关于整流电压ud描述正确的是? ? A 一个周期内,整流电压ud由6个波头组成 ? B 触发角为30°时,整流电压ud会出现瞬时值为零的点 ? C 移相范围是60° ? D 触发角为60°时,整流电压ud平均值为零 ? 单选题 2.【全部章节】自关断器件及其驱动与保护电路实验中,PWM信号占空比与直流电动机电枢电压及转速关系是? ? A 占空比越大,电枢电压越大,转速越小 ? B 占空比越大,电枢电压越小,转速越大 ? C 占空比越大,电枢电压越大,转速越大

? D 占空比越小,电枢电压越大,转速越大 ? 单选题 3.【全部章节】单相桥式半控整流电路实验中,能够用双踪示波器同时观察触发电路与整流电路波形?为什么? ? A 能 ? B 不能,因为示波器两个探头地线必须接在等电位的位置上 ? C 不能,因为示波器量程不足以观察整流电路波形 ? D 不能,因为示波器无法同时观察低压与高压信号 ? 单选题 4.【全部章节】关于锯齿波同步移相触发器描述错误的是

? A 多个触发器联合使用可以提供间隔60°的双窄脉冲? B 可以提供强触发脉冲 ? C 有同步检测环节,用于保证触发电路与主电路的同步? D 移相范围为30°到150° ? 单选题 5.【全部章节】关于“单管整流”现象的描述,错误的是? A 输出电流为单向脉冲波,含有很大的直流分量 ? B “单管整流”会危害电机、大电感性质的负载 ? C 此时电路中只有一个晶闸管导通 ? D 只在负载功率因数角小于触发角时出现 ?

《电力电子技术》教学大纲

《电力电子技术》教学大纲 学时:51 学分:3 适用专业:电子信息工程 一、课程的性质、目的和任务 电力电子技术是电子信息工程专业的一门专业选修课。其教学目的和任务:掌握各种主要的电力半导体器件的基本原理、特性及参数;熟悉AC/DC变换技术及DC/AC变换技术的基本原理及主要变换方法;对AC/AC变换技术、电力电子装置作一般了解;能阅读常见的电力电子电路及设计简单电力电子电路。 二、课程教学的基本要求 (1)了解新型电力电子器件; (2)理解可关断晶闸管;升降压变换电路;直流变换的PWM控制技术;电流型逆变电路;有源逆变电路;AC/AC变换电路;电力电子装置; (3)掌握电力二极管;晶闸管;电力晶体管;电力场效应管;绝缘栅双极型晶体管;电力电子器件的驱动与保护;DC/DC变换技术;DC/AC变换技术;整流电路;软开关技术。 三、课程教学内容 (一)概述 1.电力电子技术的发展 2.电力电子技术的应用领域 说明: 本章为电力电子技术课程的一般介绍。 (二)电力电子器件 1.电力电子器件概述 电力电子器件基本模型与特性电力电子器件的种类 2.电力二极管 电力二极管及其工作原理电力二极管的特性参数 3.晶闸管 晶闸管及其工作原理晶闸管的特性参数晶闸管的派生器件 4.可关断晶闸管 可关断晶闸管及其工作原理可关断晶闸管的特性参数 5.电力晶体管

电力晶体管及其工作原理电力晶体管的特性参数 6.电力场效应管 电力场效应管及其工作原理电力场效应管的特性参数 7.绝缘栅双极型晶体管 绝缘栅双极型晶体管及其工作原理绝缘栅双极型晶体管的特性参数 8.其它新型电力电子器件 静电感应晶体管静电感应晶闸管MOS控制晶闸管集成门换流晶闸管功率模块与功率集成电路 9.电力电子器件的驱动与保护 驱动电路保护电路缓冲电路散热系统 说明: 本章的重点是电力二极管、晶闸管、电力晶体管、电力场效应管的工作原理、特性、主要参数和使用方法。难点是电力电子器件的驱动与保护。 (三)DC/DC变换技术 1.直流变换电路工作原理 2.降压变换电路 3.升压变换电路 4.升降压变换电路 5.Cuk电路 6.带隔离变压器的直流变换器 反激式变换器正激式变换器半桥变换器全桥变换器 7.直流变换的PWM控制技术 直流PWM控制的基本原理直流变换的PWM控制技术 说明: 本章的重点是直流变换电路工作原理,降压变换电路,升压变换电路,带隔离变压器的直流变换器。难点是流变换的PWM控制技术。 (四)DC/AC变换技术 1.逆变器的性能指标与分类 逆变器的性能指标逆变器的分类 2.电力器件的换流方式与逆变电路的工作原理

电工电子技术实验报告

电工电子技术实验报告 学院 班级 学号 姓名 天津工业大学电气工程与自动化学院电工教学部 二零一三年九月

目录 第一项实验室规则------------------------------------------------------------------ i 第二项实验报告的要求------------------------------------------------------------ i 第三项学生课前应做的准备工作------------------------------------------------ii 第四项基本实验技能和要求----------------------------------------------------- ii 实验一叠加定理和戴维南定理的研究------------------------------------------ 1实验二串联交流电路和改善电路功率因数的研究--------------------------- 7实验三电动机的起动、点动、正反转和时间控制--------------------------- 14实验四继电接触器综合性-设计性实验----------------------------------------20 实验五常用电子仪器的使用---------------------------------------------------- 22实验六单管低频电压放大器---------------------------------------------------- 29实验七集成门电路及其应用---------------------------------------------------- 33 实验八组合逻辑电路------------------------------------------------------------- 37实验九触发器及其应用---------------------------------------------------------- 40 实验十四人抢答器---------------------------------------------------------------- 45附录实验用集成芯片---------------------------------------------------------- 50

相关文档