文档库 最新最全的文档下载
当前位置:文档库 › 中南大学EDA课程设计报告

中南大学EDA课程设计报告

中南大学EDA课程设计报告
中南大学EDA课程设计报告

中南大学

EDA课程设计报告

指导老师:张静秋

姓名:梁雪林

学号:0909091925

专业班级:自动化0905

目录

一、设计内容简介 (2)

二、设计要求 (2)

基本要求 (2)

提高部分要求 (3)

三、方案论证(整体电路设计原理) (3)

四、各个模块设计原理 (4)

4.1 分频电路模块设计 (5)

4.2 秒计时器模块设计 (7)

4.3 分计时器模块设计 (9)

4.4 小时计时器模块设计 (11)

4.5 报时模块设计 (13)

五、实验中遇到问题及解决方法 (20)

六、结论 (20)

七、实验心得 (21)

八、参考文献 (22)

一、设计内容简介

设计一个数字钟,可以完成00:00:00到23:59:59的计时功能,并在控制电路的作用下具有保持、清零、快速校时、快速校分、整点报时等功能。

我设计的电路在具有基本功能的基础上,增加了下列功能:改变分频比、不同整点不同报时等;

二、设计要求

基本要求

1、能进行正常的时、分、秒计时功能;

2、分别由六个数码管显示时分秒的计时;

3、K1是系统的使能开关(K1=0正常工作,K1=1时钟保持不变);

4、K2是系统的校分开关;

5、K3是系统的校时开关;

提高部分要求

1、使时钟具有整点报时功能(当时钟计到59’50”时开始

报时,四个不同整点发出不同声音);

2、分频比可变;

三、方案论证(整体电路设计原理)

本实验在实现实验基本功能的基础上,加入了整点报时等功能;

图1为实验功能方框图:

图1 实验方框图

数字计时器基本功能是计时,因此首先需要获得具有精确振荡时间的脉振信号,以此作为计时电路的时序基础,实验中可以使用的振荡频率源为4KHZ,通过分频获得所需脉冲频率(1Hz,1KHz,500Hz)。为产生秒位,设计一个模60计数器,对1HZ的脉冲进行秒计数,产生秒位;为产生分位,通过秒位的进位产生分计数脉冲,分位也由模60计数器构成;为

产生时位,用一个模24计数器对分位的进位脉冲进行计数。整个数字计时器的计数部分共包括六位:时十位、时个位、分十位、分个位、秒十位和秒个位。

显示功能是通过数选器、译码器、码转换器和7段显示管实现的。因为实验中只用一个译码显示单元,7个7段码(6个用于显示时分秒,一个显示星期),所以通过4个7选一MUX和一个3-8译码器配合,根据计数器的信号进行数码管的动态显示。

清零功能是通过控制计数器清零端的电平高低来实现的。只需使清零开关按下时各计数器的清零端均可靠接入有效电平(本实验中是低电平),而清零开关断开时各清零端均接入无效电平即可。

校分校时功能由防抖动开关、逻辑门电路实现。其基本原理是通过逻辑门电路控制分计数器的计数脉冲,当校分校时开关断开时,计数脉冲由低位计数器提供;当按下校分校时开通时,既可以手动触发出发式开关给进位脉冲,也可以有恒定的1Hz脉冲提供恒定的进位信号,计数器在此脉冲驱动下可快速计数。为实现可靠调时,采用防抖动开关(由D触发器实现)克服开关接通或断开过程中产生的一串脉冲式振动。

保持功能是通过逻辑门控制秒计数器输入端的1Hz脉冲实现的。正常情况下,开关不影响脉冲输入即秒正常计数,当按下开关后,使脉冲无法进入计数端,从而实现计时保持功能。

整点报时功能可以通过组合逻辑电路实现。当计数器的各位呈现特定的电平时,可以选通特定的与门和或门,将指定的频率信号送入蜂鸣器中,实现在规定的时刻以指定频率发音报时。

四、各个模块设计原理

总体的顶层原理图如下:

4.1 分频电路模块设计

一、原理图:

二、源代码:

Library ieee;

Use ieee.std_logic_1164.all;

Use ieee.std_logic_unsigned.all; Entity fenp IS

Port( clk : IN STD_LOGIC;

clk1k : OUT STD_LOGIC;

clk500: OUT STD_LOGIC;

clk1hz:OUT STD_LOGIC

);

End;

Architecture one of fenp is

Signal F1k:STD_LOGIC;

signal F500:std_logic;

signal F1:std_logic;

begin

p1:process(clk)

variable cnt1:std_logic_vector(1 downto 0);

variable cnt12:std_logic_vector(2 downto 0);

variable cnt13:std_logic_vector(11 downto 0);

begin

if clk='1' and clk'event then

if cnt1="11" then F1k<='1';cnt1:="00"; --11-00=4 fen ping

else cnt1:=cnt1+1;F1k<='0'; --111-000=8 fen ping

end if; --1111 1001 1111-0000 0000 0000=4000 fen ping

if cnt12="111" then F500<='1';cnt12:="000"; --11-00=4 fen ping

else cnt12:=cnt12+1;F500<='0'; --111-000=8 fen ping

end if;

if cnt13="111110011111" then F1<='1';cnt13:="000000000000"; --11-00=4 fen ping

else cnt13:=cnt13+1;F1<='0'; --111-000=8 fen ping

end if;

end if;

end process;

p2:process(F1k,F500,F1)

variable cnt2:std_logic;

variable cnt22:std_logic;

variable cnt23:std_logic;

begin

if F1k'event and F1k='1' then

cnt2:=not cnt2;

if cnt2='1' then clk1k<='1';

else clk1k<='0';

end if;

end if;

if F500'event and F500='1' then

cnt22:=not cnt22;

if cnt22='1' then clk500<='1';

else clk500<='0';

end if;

end if;

if F1'event and F1='1' then

cnt23:=not cnt23;

if cnt23='1' then clk1hz<='1';

else clk1hz<='0';

end if;

end if;

end process;

end;

三、时序仿真图:

四、功能说明

通过对输入时钟上升沿进行计数,以计数的溢出值F1k、F500、F1的上升沿对计数器输出进行取反操作。从而可以对讲计数频率偶数次分频,且输出方波,占空比50%;

4.2 秒计时器模块设计

一、电路原理:

二、源代码:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

Entity second1 is

port( c lk1s:in std_logic;

reset: in std_logic;

sec2,sec1:buffer std_logic_vector(3 downto 0);--miao gaodiwei

sec0:out std_logic -- miao jinwei

);

end second1;

Architecture A of second1 is

begin

process(clk1s,reset)

begin

if reset='0' then --qing ling

sec1<="0000";

sec2<="0000";

sec0<='0';

elsif clk1s'event and clk1s='1' then

if (sec1="1001" and sec2="0101") then --jidao 59s

sec2<="0000";

sec1<="0000";

sec0<='1';

elsif (sec1="1001") then --jidao 9s

sec1<="0000";

sec2<=sec2+1;

sec0<='0';

else sec1<=sec1+1; --zhengchangjishu 1s

sec0<='0';

end if;

end if;

end process;

end;

三、时序仿真:

四、功能说明:

对输入1Hz的频率进行计数,用reset进行复位清零;只有reset为高时才开始计数;输出2组4位的BCD码,用于数码管显示;达到59s时输出进位信号色sec0;

4.3 分计时器模块设计

一、原理图设计:

二、源代码:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity minute1 is

port( clkm:in std_logic; --miao jinwei

clk1s:in std_logic; --jiao feng xinhao

setm:in std_logic; -- jiao feng kongzhi

min2,min1:buffer std_logic_vector(3 downto 0); --fengzhong gaodiwei

minco: out std_logic --fengzhong jinwei

);

end;

Architecture A of minute1 is

signal clkx:std_logic;

begin

pclkm:process(clkm,clk1s,setm)

begin

if setm='1' then clkx<=clk1s;

else clkx<=clkm;

end if;

end process;

pcontm:process(clkx)

begin

if clkx'event and clkx='1' then

if(min1="1001" and min2="0101") then

min1<="0000";

min2<="0000";

minco<='1';

elsif (min1="1001") then

min1<="0000";

min2<=min2+1;

minco<='0';

else min1<=min1+1;

minco<='0';

end if;

end if;

end process;

end;、

三、时序仿真:

四、功能说明:

对输入的秒进位进行计数,记满59min时产生进位信号minco,正常分计数值由两组4位BCD码送出,用于数码管显示;setm引入快速计分信号1Hz;

4.4 小时计时器模块设计

一、原理图:

二、源代码:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity hour1 is

port( c lkh:in std_logic;

clk1s: in std_logic;

seth:in std_logic;

hou2,hou1:buffer std_logic_vector(3 downto 0) );

end;

Architecture A of hour1 is

signal clky : std_logic;

begin

pclkh:process(clkh,clk1s,seth)

begin

if seth='1' then clky<=clk1s;else clky<=clkh;

end if;

end process;

pconth:process(clky)

begin

if clky'event and clky='1' then

if (hou1="0011"and hou2="0010") then

hou1<="0000";

hou2<="0000";

elsif (hou1="1001") then

hou1<="0000";

hou2<=hou2+1;

else hou1<=hou1+1;

end if;

end if;

end process;

end;

三、时序仿真:

四、功能说明

对输入的分计数进位脉冲进行计数;输出2组4位BCD码,用于数码管显示;seth引入1Hz脉冲对小时进行快速计数;

4.5 报时模块设计

一、原理图:

二、源代码:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity alarm1

is

port( clk1s:in std_logic;

clk500:in std_logic;

clk1k:in std_logic;

sec2,sec1:in std_logic_vector(3 downto 0);

min2,min1:in std_logic_vector(3 downto 0);

hou2,hou1:in std_logic_vector(3 downto 0);

alarm:out std_logic

);

end;

Architecture A of alarm1 is

begin

process(clk1s)

variable flag500 :std_logic;

variable flag1k :std_logic;

--variable hou:std_logic_vector(7 downto 0);

begin

if clk1s'event and clk1s='1' then

if((hou2="0000" and hou1="0000") and min1="1001" and min2="0101" and sec2="0101") then --0 xiaoshi 59fen 50miao

case sec1 is --mingjiao 2ci 1 di 1 gao 4 9

--when "0000"=> flag500:='1';

--when "0011"=> flag500:='1';

when "0100"=> flag500:='1';

--when "0110"=> flag500:='1';

when "1001"=> flag1k:='1';

when others=> flag500:='0';flag1k:='0';

end case;

--else flag500:='0';flag1k:='0';

elsif( (hou2="0001" and hou1="0010")and min1="1001" and min2="0101" and sec2="0101") then --12 xiaoshi 59fen 50miao

case sec1 is --mingjiao 2ci 1 gao 1 di 9 4

--when "0000"=> flag1k:='1';

--when "0011"=> flag1k:='1';

when "0100"=> flag1k:='1';

--when "0110"=> flag500:='1';

when "1001"=> flag500:='1';

when others=> flag500:='0';flag1k:='0';

end case;

elsif((hou2="0000" and hou1="0011") and min1="1001" and min2="0101" and sec2="0101") then --3 xiaoshi 59fen 50miao

case sec1 is --mingjiao 3ci 2 di 1 gao 14 9

when "0001"=> flag500:='1';

--when "0010"=> flag500:='1';

when "0100"=> flag500:='1';

--when "0110"=> flag500:='1';

when "1001"=> flag1k:='1';

when others=> flag500:='0';flag1k:='0';

end case;

--else flag500:='0';flag1k:='0';

elsif( (hou2="0001" and hou1="0101")and min1="1001" and min2="0101" and sec2="0101") then --15 xiaoshi 59fen 50miao

case sec1 is --mingjiao 3ci 2 gao 1 di 14 9

when "0001"=> flag1k:='1';

--when "0010"=> flag1k:='1';

when "0100"=> flag1k:='1';

--when "0110"=> flag1k:='1';

when "1001"=> flag500:='1';

when others=> flag500:='0';flag1k:='0';

end case;

elsif( (hou2="0000" and hou1="0110")and min1="1001" and min2="0101" and sec2="0101") then --6 xiaoshi 59fen 50miao

case sec1 is --mingjiao 4ci 3 di 1 gao 135 9

when "0001"=> flag500:='1';

when "0011"=> flag500:='1';

when "0101"=> flag500:='1';

--when "0101"=> flag1k:='1';

--when "0111"=> flag500:='1';

when "1001"=> flag1k:='1';

when others=> flag500:='0';flag1k:='0';

end case;

elsif( (hou2="0001" and hou1="1000")and min1="1001" and min2="0101" and sec2="0101") then --18 xiaoshi 59fen 50miao

case sec1 is --mingjiao 4ci 3gao 1di 135 9

when "0001"=> flag1k:='1';

when "0011"=> flag1k:='1';

when "0101"=> flag1k:='1';

--when "0101"=> flag500:='1';

--when "0111"=> flag1k:='1';

when "1001"=> flag500:='1';

when others=> flag500:='0';flag1k:='0';

end case;

elsif( (hou2="0000" and hou1="1001")and min1="1001" and min2="0101" and sec2="0101") then --9 xiaoshi 59fen 50miao

case sec1 is --mingjiao 5ci 4di 1gao 1357 9

when "0001"=> flag500:='1';

when "0011"=> flag500:='1';

when "0101"=> flag500:='1';

--when "0100"=> flag1k:='1';

--when "0101"=> flag1k:='1';

when "0111"=> flag500:='1';

when "1001"=> flag1k:='1';

when others=> flag500:='0';flag1k:='0';

end case;

elsif( (hou2="0010" and hou1="0001")and min1="1001" and min2="0101" and sec2="0101") then --21 xiaoshi 59fen 50miao

case sec1 is --mingjiao 5ci 4gao 1di 1357 9

when "0001"=> flag1k:='1';

when "0011"=> flag1k:='1';

when "0101"=> flag1k:='1';

--when "0100"=> flag500:='1';

--when "0101"=> flag500:='1';

when "0111"=> flag1k:='1';

when "1001"=> flag500:='1';

when others=> flag500:='0';flag1k:='0';

end case;

else flag500:='0';flag1k:='0';

end if;

end if;

if flag500='1' then alarm<=clk500;

elsif flag1k='1' then alarm<=clk1k;

else alarm<='0';

end if;

end process;

end;

三:时序仿真:

四、功能说明:

以下是报时表和对应的整点对应关系

五、实验中遇到问题及解决方法

1.分频电路仿真没有波形。

仔细分析发现,该电路的分频比很大。由于我们的仿真时间设置得很小,所以没有什么结果出现;通过修改仿真时间和分频比,我得到了预期的波形;由于分频比较大,所以在时序图上看不出1K和500Hz的区别;可用硬件进行测试,用示波器可以观察到所需频率值基本正确。

2.试验箱中没有预期的4MHz的时钟信号。

解决办法由多种,最常见的一种就是修改分频比;还有就是可以用嵌入式锁相环来得到所需频率。

六、结论

本实验利用QuartusII软件设计仿真了在满足基本要求的基础上带闹钟功能的数字钟,编译后下载至SmartSOPC实验系统中,实际效果与预期一致。

中南大学钢结构课程设计

中南大学土木工程学院土木工程专业(本科) 《钢结构基本原理》课程设计任务书 题目:钢框架主次梁设计 姓名: 班级: 学号:

一、设计规范及参考书籍 1、规范 (1)中华人民共和国建设部. 建筑结构制图标准(GB/T50105-2001) (2)中华人民共和国建设部. 房屋建筑制图统一标准(GB/T50001-2001) (3)中华人民共和国建设部. 建筑结构荷载规范(GB5009-2010) (4)中华人民共和国建设部. 钢结构设计规范(GB50017-2003) (5)中华人民共和国建设部. .钢结构工程施工质量验收规范(GB50205-2001) 2、参考书籍 (1)沈祖炎等. 钢结构基本原理,中国建筑工业出版社,2006 (2)毛德培. 钢结构,中国铁道出版社,1999 (3)陈绍藩. 钢结构,中国建筑工业出版社,2003 (4)李星荣等. 钢结构连接节点设计手册(第二版),中国建筑工业出版社,2005 (5)包头钢铁设计研究院 中国钢结构协会房屋建筑钢结构协. 钢结构设计与计算(第二版), 机械工业出版社,2006 二、设计构件 某多层图书馆二楼书库楼面结构布置图如图,结构采用横向框架承重,楼面活荷载标准值2.02kN mm (单号)、5.02kN mm (双号),其中12班竖向梁跨度取值:学号1~10为8m 、学号11~20为10m ;学号21~为12m ;其中13班水平向梁跨度取值:学号1~10为9m 、学号11~20为11m ;学号21~为13m ;。楼面板为120mm 厚单向实心钢筋混凝土板,荷载传力途径为:楼面板-次梁-主梁-柱-基础。设计中仅考虑竖向荷载和活载作用,框架梁按连续梁计算,次梁按简支梁计算。其中框架柱为焊接H 型钢,截面尺寸为H600×300×12×18,层高3.5m 。 三、设计内容要求 (1)设计次梁截面CL-1(热轧H 型钢)。 (2)设计框架主梁截面KL-1(焊接工字钢)。 (3)设计框架主梁短梁段与框架柱连接节点,要求采用焊缝连接,短梁段长度一般为0.9~ 1.2m 。 (4)设计框架主梁短梁段与梁体工地拼接节点,要求采用高强螺栓连接。 (5)设高计次梁与主梁工地拼接节点,要求采用强螺栓连接。

中南大学本科毕业论文设计要求与规范

中南大学本科毕业论文设计要求与规范 中南大学 本科生毕业论文(设计)规范 一、毕业论文(设计)格式的规范化 一份完整的毕业论文(设计)应包括下列内容: (一)题目 (二)目录 (三)中、外文摘要及关键词 (四)正文 (五)参考文献 (六)附录 分述如下: (一)题目 题目的名称应力求简短、明确、有概括性,直接反映毕业论文(设

计)的中心内容和学科特点。题长一般不超过20个字,如确有必要,可用副标题作补充。 (二)目录 毕业论文(设计)要求层次分明,必须按其结构顺序编写目录,它是文章展开的步骤,也是作者思路的直接反映。 目录格式虽然只是论文的结构层次,但它反映了作者的逻辑思维能力,要注意的是所用格式应全文统一,每一层次下的正文必须另起一行。 目录独立成页,工程设计、研究类毕业论文的目录,常以章、节、目来编排,将章、节依次顶格书写,在其同行的右侧注上页码号。如: 目录 第1章×××× (1) 1.1 ×××× (1) 1.1.1 ×××× (1) 1.1.2 ×××× (2) 1.2 ×××× (3) 1.2.1 ×××× (4) 文科类论文目录按如下编写:

目录 一、×××× (1) (一)×××× (1) 1.×××× (1) 2.×××× (2) (二)×××× (3) 1.×××× (3) 2.×××× (4) 二、×××× (5) (一)×××× (5) (三)中、外文摘要及关键词 摘要一般不分段,不用图表,而以精练的文字对论文(设计)的内容、观点、方法、成果和结论进行高度概括,具有独立性和自含性,自成一篇短文、富有报导色彩。中文摘要以350字为宜,置于前页;外文摘要与中文摘要对应,紧接其后。 关键词(也叫主题词),是反映内容主题的词或词组,一般3~8个。中文关键词放在中文摘要的下面,外文关键词放在外文摘要的下面。关键词之间用分号分开。 (四)正文 正文包括绪论、本论、结论三个紧密相连的部分,此外,还有一个结束语。 1.绪论(即概述或引言或前言等) 绪论是毕业论文(设计)的开头,应阐述课题的来源、要求、意义,完成任务的条件,将采取的对策、手段、步骤和应该达到的目标。

中南大学微机课程设计报告交通灯课案

微机课程设计报告

目录 一、需求分析 1、系统设计的意义 (3) 2、设计内容 (3) 3、设计目的 (3) 4、设计要求 (3) 5、系统功能 (4) 二、总体设计 1、交通灯工作过程 (4) 三、设计仿真图、设计流程图 1、系统仿真图 (5) 2、流程图 (6) 3、8253、8255A结构及功能 (8) 四、系统程序分析 (10) 五、总结与体会 (13) 六、参考文献 (13)

一、需求分析 1系统设计的意义: 随着社会经济的发展,城市问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据检测、交通信号灯控制与交通疏通的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。 随着城市机动车量的不断增加,组多大城市如北京、上海、南京等出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速通道,在高速道路建设完成的初期,它们也曾有效地改善了交通状况。然而,随着交通量的快速增长和缺乏对高速道路的系统研究和控制,高速道路没有充分发挥出预期的作用。而城市高速道路在构造上的特点,也决定了城市高速道路的交通状况必然受高速道路与普通道路耦合处交通状况的制约。所以,如何采用合适的控制方法,最大限度利用好耗费巨资修建的城市高速通道,缓解主干道与匝道、城市同周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。交通灯的控制方式很多,本系统采用可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器,实现本系统的各种功能。同时,本系统实用性强,操作简单。 2、设计内容 采用8255A设计交通灯控制的接口方案,根据设计的方案搭建电路,画出程序流程图,并编写程序进行调试 3、设计目的 综合运用《微机原理与应用》课程知识,利用集成电路设计实现一些中小规模电子电路或者完成一定功能的程序,以复习巩固课堂所学的理论知识,提高程序设计能力及实现系统、绘制系统电路图的能力,为实际应用奠定一定的基础。针对此次课程设计主要是运用本课程的理论知识进行交通灯控制分析及设计,掌握8255A方式0的使用与编程方法,通从而复习巩固了课堂所学的理论知识,提高了对所学知识的综合应用能力。 4、设计要求: (1)、分别用C语言和汇编语言编程完成硬件接口功能设计; (2)、硬件电路基于80x86微机接口;

中南大学隧道工程课程设计

铁路山岭隧道课程设计指示书 . 隧道教研室. (注:可供公路隧道设计者参考,基本方法一样。) 一、原始资料 (一) 地质及水文地质条件 沙口坳隧道穿越地段岩层为石灰岩,地下水不发育。其地貌为一丘陵区,海拔约为150米。(详细地质资料示于隧道地质纵断面图中)。 (二) 线路条件 本隧道系Ⅰ级干线改造工程,单线电力(或非电力)牵引,远期最高行车速度为160公里/小时,外轨最大超高值为15厘米,线路上部构造为次重型,碎石道床,内轨顶面标高与路基面标高之间的高差为Δ=70厘米,线路坡度及平、纵面见附图,洞门外路堑底宽度约为11米,洞口附近内轨顶面标高: 进口:52.00米出口:50.00米 (三) 施工条件 具有一般常用的施工机具及设备, 交通方便, 原材料供应正常, 工期不受控制。附:(1) 1:500的洞口附近地形平面图二张; (2) 隧道地质纵断面图(附有纵断面总布置图)一张。 二、设计任务及要求 (一) 确定隧道进、出口洞门位置,定出隧道长度; (二) 在1:500的地形平面图上绘制隧道进口、出口边坡及仰坡开挖线; (三) 确定洞身支护结构类型及相应长度,并绘制Ⅳ类围岩地段复合式衬砌横断面图一张(比例1:50); (四) 布置避车洞位置; (五) 按所给定的地质资料及技术条件选择适当的施工方法,并绘制施工方案横断面

分块图及纵断面工序展开图; (六) 将设计选定的有关数据分别填入隧道纵断面总布置图的相应栏中,并写出设计说明书一份。 三、应完成的设计文件 所有的图纸均应按工程制图要求绘制,应有图框和图标。最后交出设计文件及图纸如下: (一) 标明了洞门位置及边、仰坡开挖线的1:500洞口附近地形平面图两张,图名为“沙口坳隧道进口洞门位置布置图”和“沙口坳隧道出口洞门位置布置图”; (二) 参照标准图绘制的1:50衬砌横断面图一张,图名为“Ⅳ类围岩衬砌结构图”; (三) 隧道纵断面总布置图一张,图名为“沙口坳隧道纵断面布置图”; (四) 设计说明书一份,主要内容有: 1.原始资料 ①地质及水文地质条件; ②线路条件; ③施工条件等。 2.设计任务及要求 3.设计步骤 ①确定洞口位置及绘制边仰坡开挖线的过程 应列出有关参数如b、c、d等值的计算,详细表述清楚各开挖面的开挖过程; ②洞门及洞身支护结构的选择,标明各分段里程、不同加宽的里程; ③大小避车洞的布置; ④施工方案比选: 包括施工方法的横断面分块图及纵断面工序展开图。 四、设计步骤 (一) 隧道洞门位置的确定 洞门位置的确定与洞门结构形式、边仰坡开挖方式、洞口附近地形、地质及水文地质条件有关。通常采用先在1:500的洞口地形平面图上用作图法初步确定洞门位置, 然后在实地加以核对和修正。 为了保证施工及运营的安全, 《隧规》提出了“在一般情况下,隧道宜早进洞,

中南大学通信电子线路实验报告

中南大学 《通信电子线路》实验报告 学院信息科学与工程学院 题目调制与解调实验 学号 专业班级 姓名 指导教师

实验一振幅调制器 一、实验目的: 1.掌握用集成模拟乘法器实现全载波调幅和抑止载波双边带调幅的方法。 2.研究已调波与调制信号及载波信号的关系。 3.掌握调幅系数测量与计算的方法。 4.通过实验对比全载波调幅和抑止载波双边带调幅的波形。 二、实验内容: 1.调测模拟乘法器MC1496正常工作时的静态值。 2.实现全载波调幅,改变调幅度,观察波形变化并计算调幅度。 3.实现抑止载波的双边带调幅波。 三、基本原理 幅度调制就是载波的振幅(包络)受调制信号的控制作周期性的变化。变化的周期与调制信号周期相同。即振幅变化与调制信号的振幅成正比。通常称高频信号为载波信号。本实验中载波是由晶体振荡产生的10MHZ高频信号。1KHZ的低频信号为调制信号。振幅调制器即为产生调幅信号的装置。 在本实验中采用集成模拟乘法器MC1496来完成调幅作用,图2-1为1496芯片内部电路图,它是一个四象限模拟乘法器的基本电路,电路采用了两组差动对由V1-V4组成,以反极性方式相连接,而且两组差分对的恒流源又组成一对差分电路,即V5与V6,因此恒流源的控制电压可正可负,以此实现了四象限工作。D、V7、V8为差动放大器V5与V6的恒流源。进行调幅时,载波信号加在V1-V4的输入端,即引脚的⑧、⑩之间;调制信号加在差动放大器V5、V6的输入端,即引脚的①、④之间,②、③脚外接1KΩ电位器,以扩大调制信号动态范围,已调制信号取自双差动放大器的两集电极(即引出脚⑹、⑿之间)输出。

图2-1 MC1496内部电路图 用1496集成电路构成的调幅器电路图如图2-2所示,图中VR8用来调节引出脚①、④之间的平衡,VR7用来调节⑤脚的偏置。器件采用双电源供电方式(+12V,-9V),电阻R29、R30、R31、R32、R52为器件提供静态偏置电压,保证器件内部的各个晶体管工作在放大状态。 四、实验结果 1. ZD.OUT波形: 2. TZXH波形:

中南大学艺术设计专业本科培养方案

艺术设计专业本科培养方案 一、专业简介 艺术设计专业始建于1993年的原中南工业大学艺术设计专业。目前是我院设计学科的主导专业。2011年学院合并组建建筑与艺术学院,下有视觉传达、数字媒体、环境艺术设计三个专业方向。 1.视觉传达设计专业。视觉传达设计始建于1993年的原中南工业大学艺术设计专业,经过十几年的发展,目前,下设视觉传达设计方向和数字媒体设计方向,现在具有优良的教学条件、先进的教学观念,在我省和全国都具有一定的影响。现有专业教师13名,其有教授1名、副教授4名,硕士生导师4,讲师9名。本系除了本科以外,是湖南省内唯一具有设计艺术学视觉传达设计与应用研究和艺术硕士(MFA)视觉传达设计两个硕士点。在理论与实践、学校与企业结合、教学走向市场等方面已形成自己的特色。坚持学术为社会实践服务、为现代化建设服务,实行学、术并举为本专业方向的特色,在设计学理论与应用设计有机结合。其中,广告设计历年获得国家级和省级的大赛奖项,培养大量的优秀人才,在本省乃至全国已经具有的了一定影响力;国际交流更是已经取得系列突破,积极参与国内外学术研讨与交流,已有一系列的相关研究在开展。 2.数字媒体艺术设计专业。始建于2008年开始招收本科生,现有专职教师5人,其中副教授2人,博士学位获得者2人,所有教师均拥有一线设计实践能力。本专业集数字技术与媒体艺术设计创作为一体,依托学校的整体优势和学科综合背景,与国内外一些著名媒体企业和大学协作,致力于培养知识面宽广、具有创新思维和富于团队精神、系统掌握现代创新艺术设计领域所需要的专业知识、理论与实践技能,能担任网站整体形象设计与创意策划、多媒体数字动态展示、数字动画与音视频艺术设计与制作的具有较高艺术素养的复合型专业设计人才。 3.环境艺术设计专业。始建于1993年的原中南工业大学艺术设计专业。目前是我院设计学科的主导专业,横贯室内设计和景观设计方向,强调“跨界设计”的专业特色。经过十几年的发展,已具有优良的教学条件、先进的教学观念。现有专业教师8名,其有教授1名、副教授3名,硕士生导师4名,大多具有博士学位。是湖南省内唯一具有环境设计艺术学和艺术硕士(MFA)两个硕士点的专业,在理论与实践、校企结合、教学走向市场等方面已形成自己的特色。历年获得国际、国家和省级的设计大赛奖项,培养了大量的优秀人才,在国内外已具有一定的影响力;国际交流更是取得一系列突破,积极参与国际学术研讨与交流,已定期开设系列国际交流课程、设计训练营和工作坊等。 二、培养目标 本专业按照“宽专业、厚基础、强能力、高素质、多模式”的原则,培养具备艺术设计的基础理论知识与实践应用能力。 视觉传达设计专业培养目标:系统掌握现代创新视觉艺术设计领域所需要的专业知识、理论与实践技能,能在企事业单位专业设计部门、科研和教学单位从事视觉传达设计和数字媒体设计与创意策划与制作(包括广告设计、包装设计、书籍设计、印刷工艺设计、商业美术、展示设计、影像设计、视觉识别设计、视觉环境设计、信息设计、网页设计等方向)的具有较高艺术素养的德、智、体、美全面发展复合型专业设计人才。

计算机网络课程设计实验报告

中南大学课程设计报告 课程:计算机网络课程设计 题目:基于Winpcap的网络流量统计分析 指导教师:张伟 目录 第一章总体设计 一、实体类设计 --------P3 二、功能类设计 --------P3 三、界面设计 --------P3

第二章详细设计 一、实体类实现 --------P4 二、功能类实现 --------P4 三、界面实现 --------P5 第三章源代码清单及说明 一、CaptureUtil.java --------P7 二、MyPcapPacketHandler.java --------P9 三、PacketMatch.java --------P9 四、Windows.java --------P13 第四章运行结果 --------P19 第五章心得体会 --------P21 第一章总体设计 一、实体类设计 TCP、UPD、ICMP、ARP、广播数据包五个包的数据结构设计 二、功能类设计 (1)网卡获取 (2)包的抓捕

(3)包的处理 三、界面设计 (1)布局 (2)按钮功能连接 第二章第二章详细设计 一、实体类实现 TCP、UPD、ICMP、ARP、广播数据包五个包的数据结构设计。 本程序采用Java编写,基于win10pcap。Win10pcap是winpcap在win10系统上的适用版本。Java对于winpcap使用jnetpcap进行支持。对于TCP、UPD、ICMP、ARP、广播数据包五种类型的包,在jnetpcap的jar包中大部分已经封装好了相关的实体类型。对应如下:ARP 实体类:https://www.wendangku.net/doc/c61471033.html,work.Arp; UPD 实体类:https://www.wendangku.net/doc/c61471033.html,work.Icmp;

中南大学课程设计车间照明系统

电气工程基础课程设计 车间动力及照明设计 专业:电气工程及其自动化 班级: 学生姓名: 指导教师: 完成时间:

目录 摘要 (3) 1设计任务 (4) 1.1设计题目 (4) 1.2设计要求 (4) 1.3设计依据 (4) 2车间变电所负荷计算 (5) 2.1车间负荷计算 (5) 2.2 无功补偿计算 (8) 3车间变电所系统设计 (9) 3.1变电所主变压器台数和容量确定 (9) 3.2车间变电所的所址和型式 (11) 3.3车间变电所主接线方案设计 (12) 3.4短路电流的计算 (14) 3.4变电所一次设备的选择 (16) 3.5电缆型号与敷设方式选择 (20) 4二次回路与继电保护 (23) 4.1二次回路方案的选择 (23) 4.2二次回路方案的选择与继电保护的整定 (24) 4.3变电所防雷保护和接地装置 (26) 4.4变电所电气照明 (28) 4.5车间配电线路布线方案的确定 (28) 4.6线路导线及其配电设备和保护设备的选择 (29) 5结束语 (33) 6参考资料 (34)

电气工程课程设计——车间动力及照明设计 摘要 电能是现代工业生产的主要能源和动力。随着现代文明的发展与进步,社会生产和生活对电能供应的质量和管理提出了越来越高的要求。本次设计的题目为车间动力及照明的设计,考虑到题目的条件,决定采用建立车间变电所的方式给车间动力及照明供电。 因此,本次课程设计的主要工作为车间变电所的设计。一个安全、经济的变 电所,是极为重要的。次车间的供电设计包括:负荷的计算及无功功率的补偿;变电所主变压器台数和容量、型式的确定;变电所主接线方案的选择;进出线的选择;短路计算和开关设备的选择;二次回路方案的确定及继电器保护的选择和整定;防雷保护与接地装置的设计;车间配电线路布线方案的确定;线路导线及其配电设备和保护设备的选择;以及电气照明的设计。最后用autoCAD 给出了 电路图的绘制。

操作系统实验报告-中南大学

操作系统原理试验报告 班级: 学号: 姓名:

实验一:CPU调度 一、实验内容 选择一个调度算法,实现处理机调度。 二、实验目的 多道系统中,当就绪进程数大于处理机数时,须按照某种策略决定哪些进程优先占用处理机。本实验模拟实现处理机调度,以加深了解处理机调度的工作。 三、实验题目 1、设计一个按优先权调度算法实现处理机调度的程序; 2、设计按时间片轮转实现处理机调度的程序。 四、实验要求 PCB内容: 进程名/PID; 要求运行时间(单位时间); 优先权; 状态: PCB指针; 1、可随机输入若干进程,并按优先权排序; 2、从就绪队首选进程运行:优先权-1/要求运行时间-1 要求运行时间=0时,撤销该进程 3、重新排序,进行下轮调度 4、最好采用图形界面; 5、可随时增加进程; 6、规定道数,设置后备队列和挂起状态。若内存中进程少于规定道数,可自动从后备 队列调度一作业进入。被挂起进程入挂起队列,设置解挂功能用于将指定挂起进程解挂入就绪队列。 7、每次调度后,显示各进程状态。 实验二:内存管理 一、实验内容 主存储器空间的分配和回收 二、实验目的 帮助了解在不同的存储管理方式下,应怎样实现主存空间的分配和回收。 三、实验题目 在可变分区管理方式下,采用最先适应算法实现主存空间的分配和回收。

四、实验要求 1、自行假设主存空间大小,预设操作系统所占大小并构造未分分区表; 表目内容:起址、长度、状态(未分/空表目) 2、结合实验一,PCB增加为: {PID,要求运行时间,优先权,状态,所需主存大小,主存起始位置,PCB指针} 3、采用最先适应算法分配主存空间; 4、进程完成后,回收主存,并与相邻空闲分区合并 .1、Vo类说明(数据存储结构) 进程控制块PCB的结构: Public class PCB{ //进程控制块PCB,代表一个进程 //进程名,作为进程的标识; private String name; //要求运行时间,假设进程运行的单位时间数; private int time; //赋予进程的优先权,调度时总是选取优先数小的进程先执行; private int priority; //状态,假设有“就绪”状态(ready)、“运行”状态(running)、 //“后备”状态(waiting)、“挂起”状态(handup) private String state; //进程存放在table中的位置 private int start; //进程的大小 private int length; //进程是否进入内存,1为进入,0为未进入 private int isIn; //进程在内存中的起始位置 private int base; //进程的大小 private int limit; //一些get和set方法以及构造器省略… };

中南大学操作系统课程设计

操作系统课程设计题目名称:银行家算法 姓名 学号 专业 班级 指导教师 编写日期

目录 第一章问题描述 (3) 1.1 课设题目重述 (3) 1.2 问题分析 (3) 1.3 实验环境 (3) 第二章系统设计 (4) 3.1 主要数据结构 (4) 3.2 银行家算法 (4) 3.3 安全性检查算法 (6) 3.4 银行家算法安全性序列分析之例 (7) 第三章源代码清单 (10) 3.1 函数清单 (10) 3.2 各函数的调用关系图 (12) 第四章运行结果测试与分析 (13) 4.1 程序的正常输出结果 (13) 4.2 程序的差错控制 (15) 第五章结论与心得 (18) [参考文献] (18)

第一章问题描述 1.1课设题目重述 设计目的:了解多道程序系统中,多个进程并发执行的资源分配。 设计要求:管理员可以把一定数量的作业供多个用户周转使用,为保证作业的安全,管理员规定:当一个用户对作业的最大需求量不超过管理员现有的资金就要接纳该用户;用户可以分期贷款,但贷款的总数不能超过最大需求量;当管理员现有的作业不能满足用户的所需数时,对用户的请求可以推迟支付,但总能使用户在有限的时间里得到请求。当用户得到所需的全部作业后,一定能在有限的时间里归还所有的作业。 1.2问题分析 银行家算法是最具有代表性的避免死锁的算法。我们可以把操作系统看作是银行家,操作系统管理的资源相当于银行家管理的资金,进程向操作系统请求分配资源相当于用户向银行家贷款。在死锁的避免中,银行家算法把系统状态分为安全状态和不安全状态,只要能使系统始终处于安全状态,便可以避免发生死锁。所谓安全状态,是指系统能按某种顺序为每个进程分配所需资源,直到最大需求,使每一个进程都可以顺利完成,即可找到一个安全资源分配序列。 所以我们需要解决问题有: 1)熟悉银行家算法的工作原理,明白如何判断系统处于安全状态,避 免死锁。 2)在Windows操作系统上,如何利用Win32 API编写多线程应用程序 实现银行家算法。 3)创建n个线程来申请或释放资源,如何保证系统安全,批准资源申 请。 4)通过Win32 API提供的信号量机制,实现共享数据的并发访问。1.3实验环境 操作系统:windows 8.1 实验语言:c++

学生成绩管理系统_课程设计报告

中南大学 《C语言程序设计》 课程设计报告课题名称:学生成绩管理系统 专业电气信息 学生姓名舒畅 班级0914 学号0909091424 指导教师穆帅 完成日期2010年7月10日 信息科学与工程学院

目录 1 课程设计的目的 (1) 2 设计内容与要求 (1) 3 主要技术指标及特点 (2) 3.1 登录界面显示 (2) 3.2登记学生资料 (4) 3.3保存学生资料 (5) 3.4 删除学生资料 (6) 3.5修改学生资料 (7) 3.6 查询学生资料 (8) 3.6统计学生资料(自加功能) (8) 3.8对学生资料进行排序 (9) 3.9程序主要代码 (9) 4 设计小结 (31)

成绩管理系统 1 课程设计的目的 1.加深对《C语言程序设计》课程知识的理解,掌握C语言应用程序的开发方法和步骤; 2.进一步掌握和利用C语言进行程设计的能力; 3.进一步理解和运用结构化程序设计的思想和方法; 4.初步掌握开发一个小型实用系统的基本方法; 5.学会调试一个较长程序的基本方法; 6.学会利用流程图或N-S图表示算法; 7.掌握书写程设计开发文档的能力(书写课程设计报告)。 2 设计内容与要求 设计内容:成绩管理系统 现有学生成绩信息,内容如下: 姓名学号 C 数学英语 shuchang 12 99 98 99 jiutian 32 87 68 87 changzi 33 98 89 99 jiutia 13 7 43 45 设计要求: ?封面(参见任务书最后一页) ?系统描述:分析和描述系统的基本要求和内容; ?功能模块结构:包括如何划分功能模块,各功能模块之间的结构图,以及各模块 的功能描述; ?数据结构设计:设计数据结构以满足系统的功能要求,并加以注释说明; ?主要模块的算法说明:即实现该模块的思路; ?运行结果:包括典型的界面、输入和输出数据等; ?总结:包括C语言程序设计实践中遇到的问题,解决问题的过程及体会、收获、

中南大学 钢结构 课程设计

钢结构课程设计计算说明书 一、设计资料 1.设计条件 某厂一操作平台,平台尺寸16.000×12.000m,标高4.00m,平台梁柱布置图如图1所示。该平台位于室内,楼面板采用压花钢板,平台活载按2.0kN/m2考虑。设计中仅考虑竖向荷载和活载作用。 2.设计要求 (1)板的设计(板的选择、强度验算、挠度验算) (2)选一跨次梁设计(截面设计、强度验算、刚度验算) (3)选一跨主梁设计(截面设计、强度验算、刚度验算) (4)柱的设计(截面设计、整体稳定性验算) (5)节点设计(主梁与柱的连接、主次梁的连接) (6)计算说明书,包括(1)~(5)部分内容 (7)绘制平台梁柱平面布置图、柱与主次梁截面图、2个主梁与柱连接节点详(边 柱和中柱)、2个次梁与主梁连接节点详图(边梁、中间梁)、设计说明。(2# 图纸一张),

二、设计方案 1、板的设计 (1)确定铺板尺寸 使用压花钢板,厚度取15mm ,密度为37.85/kg m (2)验算板的强度和挠度 ①铺板承受的荷载 恒载标准值:37.859.815101 1.154/k g kN m -=????= 活载标准值: 3.01 3.0/k p kN m =?= 荷载总标准值: 1.154 3.0 4.154/k k k q g p kN m =+=+= 恒载设计值: 1.154 1.2 1.385/g kN m =?= 活载设计值: 3.0 1.2 4.2/p kN m =?= 荷载总设计值: 1.385 4.2 5.585/q kN m =+= 根据规范,6000 421500 b a = =>,1230.1250,0.0375,0.095,0.1422a a a β==== 因为1213,a a a a >> 所以22max 10.1250 5.585 1.5 1.571x M M a qa kN m ===??= ②验算强度及挠度 强度验算: 3 22max max 22 66 1.5711034.91/215/1.215 x M N mm N mm t σγ??===

中南大学系统仿真实验报告

实验一MATLAB 中矩阵与多项式的基本运算 实验任务 1. 了解MATLAB命令窗口和程序文件的调用。 2 ?熟悉如下MATLAB的基本运算: ①矩阵的产生、数据的输入、相关元素的显示; ②矩阵的加法、乘法、左除、右除; ③特殊矩阵:单位矩阵、“ 1 ”矩阵、“0”矩阵、对角阵、随机矩阵的产生和运算; ④多项式的运算:多项式求根、多项式之间的乘除。 基本命令训练 1、>> eye(2) ans = 1 0 0 1 >> eye(4) ans = 1 0 0 0 0 1 0 0 0 0 1 0 0 0 0 1 2、>> ones(2) 1 1 ans =

1 1 >> ones(4) ans = 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 >> ones(2,2) ans = 1 1 1 1 >> ones(2,3) ans = 1 1 1 1 1 1 >> ones(4,3) ans = 1 1 1 1 1 1 1 1 1 1 1 1 3、>> zeros(2) ans =

0 0 0 0 >> zeros(4) ans = 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 >> zeros(2,2) ans = 0 0 0 0 >> zeros(2,3) ans = 0 0 0 0 0 0 >> zeros(3,2) ans = 0 0 0 0 00 4、随机阵>> rand(2,3) ans = 0.2785 0.9575 0.1576 0.5469 0.9649 0.9706 >> rand(2,3)

中南大学数据库课程设计

中南大学 数据库课程设计 课程设计题目香水推荐系统设计 指导老师周扬 专业班级电子信息1303 姓名蒋冰玉 学号 0903130313 实验日期 2015年9月

目录 一.前言 (3) 1.面向对象 (3) 2.课程设计目的 (3) 3.课程设计总体要求 (3) 4.课程设计要求 (3) 5.开发工具 (3) 6.开发任务概述 (3) 二.后台程序设计 (5) 1.系统E-R表构建 (5) 2.SQL表格部分截图 (6) 三.前台程序设计 (7) 1.实验设计总体框架 (7) 2.功能模块分析 (8) 3.业务流程 (8) 4.实验设计截图 (9) 四.实验心得 (19)

前言 一.面向对象 中南大学2015级信息科学与工程学院电子信息工程专业1301-1303班学生 二.课程设计目的 培养学生熟练掌握一种大型数据库系统的开发环境如ORACLE9i/10g/11g(或更高版本)或SQL SERVER2005/2008/2010(或更高版本),对其底层的数据库触发器与程序过程有深入的了解,了解并基本掌握面向数据库的C/S模式的通用开发工具如VB、PB或DELPHI或直接用语言C#或Java开发C/S模式的系统;或者采用ASP、JSP、PHP等开发技术来开发B/S模式的系统,熟悉开发一个小型应用系统的过程及主要技术。其中基于.Net平台与J2EE平台工具套件中同时支持B/S模式与C/S模式的开发。 三.课程设计总体要求 1.完成设计、程序编制、调试与检查验收 2.书写完整的课程设计报告(至少20页),需求分析(要做什么事情如功能需求、信息需求、做到什么程度如性能需求、有哪些难点或关键技术),系统设计(功能模型、信息模型、数据库设计、典型处理流程或算法、典型的后台处理如触发器或过程所涉及到的逻辑等)、系统实现及关键技术、总结与展望等章节。 3.数据库与大型程序的对象命名规则一定为XXX_班号(+)学号尾4位 例如car_master_10104即表示车辆主要信息表,为1班,学号尾数是0104设计的表结构,cloth_Detail_42309即表示衣服信息明细表,为4班,学号尾数是2309设计的表结构,对象(包括大的程序对象及程序名等)如果不能体现班级及学号等信息,则视为程序不合要求,程序部分成绩可能不合格。 四.课程设计要求 (1)提出技术方案,主要包括开发与运行模式选择、开发工具、数据库管理系统及其主要的特性保证。(课程设计报告中体现) (2)对系统的功能和数据进行需求分析、总体设计和详细设计,并提交设计报告。报告中数据库设计部分要包含系统E-R图、数据字典、表、视图、索引、文件组织结构等的定义和描述,还需要包括对象命名法。 (3)程序实现各项功能,在课程设计报告中要反映实现过程中采用的关键技术和主要程序流程以及主要的操作界面。 (4)数据库后台最好有触发器与多个过程,数据结构中最好有主明细结构(即主表与明细表联动)。 五.开发工具 Visual Studio Community 2015

中南大学本科毕业设计调研报告

本科毕业设计(论文)调研 报告 题目:基于STM32的空调压缩机控制系统设计 学生姓名: 指导教师:刘波 学院:信息科学与工程学院 专业班级:电子信息1102班 2015年3月1日

摘要 空调作为人们必不可少的家用电器,其中直流变频空调具有能效高、振动噪声低等优点,已成为家用空调市场的主导产品。压缩机是变频空调的核心部件,由直流无刷电机(BLDCM)驱动,构成驱动压缩一体化的密闭机组,使速度和位置传感器安装难度与维护成本大大增加。因此,直流变频空调压缩机驱动控制系统实质上是一个无位置传感器BLDCM控制系统。针对无刷直流电机的控制特点,分别从功率驱动和控制策略两方面进行分析和设计。选用STM32F103芯片作为主控制器,包含驱动电路、逆变电路、电流检测以及速度反馈电路,采用电流环、速度环双闭环控制策略,并且通过动态调节定时器预分频值的方法提高速度采集的精度。实验结果表明,系统响应速度快,稳定性好,具有较高的工程应用价值。 关键字:直流变频空调;无刷直流电机;STM32 ;

目录 摘要................................................................................................................................. I 目录.............................................................................................. 错误!未定义书签。 一、前言 (1) 1.1课题背景 (1) 1.2国内外研究现状 (2) 二、课题分析 (3) 2.1 研究课题的认识 (3) 2.2 预期的难点 (3) 2.2.1电机参数辨识研究现状 (3) 2.2.2无位置传感器控制技术研究现状 (4) 2.3 目前已具备的条件 (5) 三、关键技术简介 (7) 3.1 双闭环控制策略 (7) 3.2 速度测量 (9) 四、毕业设计进度安排 (10) 五、结论 (10) 参考文献 (10) II

中南大学C++课程设计实践报告!

中南大学 本科生课程设计(实践)任务书、设计报告 (C++程序设计) 题目学生成绩管理系统 学生姓名 指导教师 学院 专业班级 学生学号 计算机基础教学实验中心 年月日

学生成绩管理系统 关键字:学生成绩 MFC 编写系统 内容:定义一个结构体,存放下列信息: 学号、姓名、性别、系名、班级名、成绩等 1.学生成绩管理系统开发设计思想 要求: 一:数据输入:输入学生的相关信息,若用户输入数据或信息不正确,给出“错误”信息显示,重复刚才的操作;至少要输入10个学生的数据;可以随时插入学生信息记录; 二:每个学生数据能够进行修改并进行保存; 三:可以根据学号或者姓名删除某学生数据; 四:查询模块要求能按学号,按姓名,按班级等条件进行查询; 五:界面要求美观,提示信息准确,所有功能可以反复使用。 学生成绩管理程序从总体设计方面来看,基本的功能包括主控模块,数据输入模块,数据修改模块,数据查询模块等。 设计模块图:

2.系统功能及系统设计介绍 详细设计: 对于总体设计说明的软件模块,进一步细化,要说明各个模块的逻辑实现方法。下面逐个说明。 主控模块:主要完成初始化工作,包括屏幕的初始化,显示初始操作界面。初始界面中主要包括功能的菜单选择项。 输入处理:利用链表技术输入多名学生的数据,直到输入学生的学号以“@”开头,则结束数据的输入。程序运行流程图如下:删除处理:利用链表技术删除某学号的学生成绩信息,如果找到该学号则进行删除,否则输出“未找到”的信息。程序运行流程图略。 查找处理:利用链表技术根据学生学号或姓名等方式查找某学号

的学生成绩信息,其程序流程图略。 排序处理:利用链表技术根据学生学号对学生数据进行排序,其 部分源代码如下:/***********xuesheng.c***********/ /******头文件(.h)***********/ #include "stdio.h" /*I/O函数*/ #include "stdlib.h" /*其它说明*/ #include "string.h" /*字符串函数*/ #include "conio.h" /*屏幕操作函数*/ #include "mem.h" /*内存操作函数*/ #include "ctype.h" /*字符操作函数*/ #include "alloc.h" /*动态地址分配函数*/ #define N 3 /*定义常数*/ typedef struct z1 /*定义数据结构*/ { char no[11]; char name[15]; char sex[5]; char major[15]; char class[15];

中南大学轨道工程课程设计

轨道工程课程设计 直线尖轨直线辙叉 60kg钢轨12号单开道岔平面布置设计 班级: 姓名:

学号: 指导老师: 完成时间: 第一部分 设计任务与要求 1. 确定转辙器主要尺寸 2. 确定辙叉和护轨几何尺寸 3. 选择导曲线半径 4. 计算道岔主要几何尺寸 5. 导曲线支距计算 6. 配轨计算 7. 配置岔枕 8. 绘制道岔总平面布置图 第二部分 设计资料 一、轨道条件 钢轨60kg/m ,标准长度12.5m ,区间线路轨枕根数:1760根/公里,道岔类型:钢筋混凝土Ⅱ。 二、道岔型式 (1)转辙器 直线尖轨,跟端支距mm y 1440 ,跟端结构为间隔铁夹板连接, 夹板l =820mm

(2)辙叉及护轨 直线辙叉,N =12,辙叉角'''49454o =α,辙叉趾距mm n 2127=,辙叉跟距 mm m 3800=。 (3)导曲线 圆曲线形,不设超高。 三、物理参数: 动能损失允许值:220/65.0h km =ω 未被平衡的离心加速度容许值20/65.0s m =α 未被平衡的离心加速度时变率容许值30/5.0s m =ψ 四、过岔速度 侧向过岔速度要求:h km V s /45= 五、道岔中的轨缝值 尖轨跟端及辙叉趾端轨缝为6mm ,其余为8mm 。 第三部分 提交资料 1.计算说明书; 2.图纸; 3.如果计算说明书和图纸有电子版,需提交一份电子版。 第四部分 设计计算 一、确定转辙器的几何尺寸 1、计算尖轨长度

尖轨转折角''66.35'114565.0arcsin arcsin 0?==???? ??=s V ωβ 根据设计资料:跟端支距:mm y 1440= 则尖轨长度为:()mm y l 46.8037' '66.35'11sin 144 sin 00=?== β 根据尖轨长度的取值原则,采用接近于计算长度的整数长度,所以取 mm l 80500= 则对应的尖轨转折角''9.29'118050144 arcsin ?=?? ? ??=β 2、计算基本轨尖端前部长度 由设计资料可知mm q 2646= 3、计算基本轨后端长度'q 整个基本轨取为一个标准轨长即L=12.5m ,则: ()mm l q L q 29.1805''9.29'11cos 8050264612500cos 0'=??--=--=β 二、确定辙叉及护轨的几何尺寸 1、确定趾距n P 和跟距m P 根据设计资料知辙叉角''49'454?=α 前端长度n =2127mm 所以:趾距mm n P n 79.1762''49'454sin 212722sin 2=???=?? ? ??=α 后端长度m =3800mm 跟距mm m P m 84.3152sin 2=?? ? ??=α 2、计算护轨工作边延展长度 护轨工作边延展长度示意图如图1所示。

中南大学 计算机体系结构实验报告

计算机体系结构课程设计 学院:信息科学与工程学院 专业班级: 指导老师: 学号: 姓名:

目录 实验1 对指令操作码进行霍夫曼编码 (3) 一、实验目的 (3) 二、实验内容 (3) 三、设计思路 (4) 四、关键代码 (4) 五、实验截图 (5) 六、源代码 (5) 实验2 使用LRU 方法更新Cache (8) 一、实验目的 (8) 二、实验内容 (8) 三、设计思路 (9) 四、程序截图 (9) 五、实验代码 (9) 实验总结 (16) 参考文献 (16)

实验1 对指令操作码进行霍夫曼编码一、实验目的 了解和掌握指令编码的基本要求和基本原理 二、实验内容 1. 使用编程工具编写一个程序,对一组指令进行霍夫曼编码,并输出最后的编码结果以及对指令码的长度进行评价。与扩展操作码和等长编码进行比较。 2. 问题描述以及问题分析 举例说明此问题,例如: 下表所示: 对此组指令进行 HUFFMAN 编码正如下图所示: 最后得到的HUFFMAN 编码如下表所示:

最短编码长度为: H=0.45*1+0.30*2+0.15*3+0.05*4+0.03*5+0.01*6+0.01*6=-1.95. 要对指令的操作码进行 HUFFMAN 编码,只要根据指令的各类操作码的出现概率构造HUFFMAN 树再进行 HUFFAM 编码。此过程的难点构造 HUFFMAN 树,进行 HUFFAM 编 码只要对你所生成的 HUFFMAN 树进行中序遍历即可完成编码工作。 三、设计思路 观察上图,不难看出构造 HUFFMAN 树所要做的工作:1、先对各指令操作码的出现概率进行排序,构造一个有序链表。2、再取出两个最小的概率节点相加,生成一个生的节点加入到链表中,同时从两表中删除此两个节点。3、在对链表进行排序,链表是否只有一个节点,是则 HUFFAN 树构造完毕,否则继续做 2 的操作。为此设计一个工作链表(链表的元素时类,此类的功能相当结构。)、HUFFMAN 树节点、HUFFMAN 编码表节点。 四、关键代码 哈夫曼树重点在于如何排列权值大小不同的结点的顺序 private int leafNum; //叶子结点个数 private HaffmanNode[] hnodes; //哈夫曼树的结点数组 public HaffManCode(double[] weight) //构造指定权值集合的哈夫曼树 { int n = weight.length; //n个叶子结点 this.leafNum = n; this.hnodes = new HaffmanNode[2*n-1]; //n个叶子结点的哈夫曼树共有2n-1个结点 for(int i=0; i

相关文档
相关文档 最新文档