文档库 最新最全的文档下载
当前位置:文档库 › 基于SoPC的视频终端设计

基于SoPC的视频终端设计

基于SoPC的视频终端设计
基于SoPC的视频终端设计

sopc开发流程

实验一 一、实验目的 1.掌握用 Quartus II 开发 SOPC 的基本流程。 2.掌握用 SOPC Builder 进行 Nios II CPU 开发的基本流程。 3.掌握整个 Nios II 集成开发环境。 二、实验环境 1.SOPC-NIOSII SOPC 开发平台一套。 2.USB下载电缆一条。 三、实验原理 本实验的目的主要是对 SOPC 有一个基本的认识,了解整个实验过程,并掌握整个 Nios II 集成开发环境的应用。 (1) 在 Quartus II中新建一个工程。 (2) 在 SOPC Builder中根据自己的需要加入各种参数值。 (3) 利用 SOPC Builder 产生 Quartus II 能够识别的文件。 (4) 在(1)中新建的工程中加入(3)中生成的文件。 (5) 加入输入、输出以及双向端口,并根据需要对其命名。 (6) 对(5)中命名的输入、输出核双向端口根据选定的 FPGA 进行引脚分配。 (7) 编译工程。 (8) 下载编辑代码到 FPGA。 (9) 利用 Nios II 新建另一个工程。 (10) 根据(2)中的资源,编写项目需要的代码。 (11) 编译、下载并调试,查看运行结果,直到正确。 (12) 如果需要,将(11)中生成的代码下载到代码 Flash 中。 四、实验内容 为了熟悉 SOPC 的基本开发流程,本实验要完成的任务就是设计一个最简单的系统,系统中包括 Nios II CPU、作为标准输入/输出的 JTAG UART 以及存储执行代码SRAM。通过 SOPC Builder 对系统进行编译,然后通过 Quartus II 对

SOPC课程设计实验报告--基于 NIOS 的 μCOS-II 实验

FPGA-CPLD原理及应用课程设计报告题目:基于NIOS的μC/OS-II实验 学院:信息与电子工程学院 专业:电子科学与技术 学号: 姓名: 指导老师: 时间:2013-7-15~2013-7-20

一、摘要 本实验项目使用Quartus II、SOPC Builder和Nios II EDS从零开始构建一个能够在DE2-115实验平台上运行的μC/OS-II操作系统的Nios II系统。初学者可以借此范例熟悉Quartus II、SOPC Builder、Nios II EDS的使用,并且了解基于FPGA的嵌入式系统开发流程。 关键词:SOPC Builder Nios II DE2Nios II EDS 二、设计要求 从零开始建立一个基于Nios II的μC/OS-II应用实验系统(也可以认为是一个Nios II+μC/OS-II的应用框架)具有以下一些作用。 (1)读者可以借助SOPC Builder工具自行对Nios II软核处理器进行配置。 (2)很多范例都是纯硬件的VHDL代码,需要自行从零开始建立Nios II 系统,不能够直接使用Altera公司已经建立好的Nios II系统。 (3)DE2-115并非Altera公司原创的开发板,而是友晶科技ODM的电路板,很多外围设备都与Altera提供的电路板不一样,所以很多Altera手册中范例都无法执行,必须要有自己从硬件到软件建立系统的能力,将来才有办法将Altera 提供的范例移植到DE2-115上执行并做到最佳化。 三、设计内容” 1、SOPC Builder硬件建立 SOPC Builder是在Quartus II里的SOPC Builder进行的,先建立工程在SOPC Builder里添加硬件,包括CPU,PLL,onchip_memory,SSRAM,SDRAM Tristate Bridge,Flash,JTAG UART,UART,Timer System ID 2、Quartus II硬件处理 硬件会自动建立一个顶层模块,通过建一个原理图来对对应的硬件进行输入输出的添加,再锁定引脚,编译工程,硬件下载。 3、Nios II DE2嵌入软件编写 在Nios II里建立工程,选择相应的模块,编写需要嵌入的软件,添加缺少的头文件对应的宏定义,编译工程,进行软件下载,在观察结果。 四、设计步骤 1、打开Quartus II新建工程

材料_基于FPGA的SOPC流水灯演示实验

基于FPGA的SOPC演示实验 秦菁2012-07-10演示实验:流水灯 实验内容:将8位LED灯点亮,进行流水灯控制 实验步骤: (1)在Quartus II中建立工程 (2)用SOPC builder建立Nios系统模块 (3)在Quartus II中的图形编辑界面进行引脚连接工作等 (4)编译工程后下载到FPGA中 (5)在Nios II IDE中根据硬件建立软件工程 (6)编译后,经过简单设置下载到FPGA中进行调试、实验 随着嵌入式处理器、专用数字器件和DSP算法以IP核的形式嵌入FPGA中,以单片FPGA完成整个嵌入式系统数字部分的设计已经成为现实。此实验主要通过运用Altera公司提供的Nios II软核处理器,了解SOPC的基本概念和基于FPGA的嵌入式系统的开发方法,掌握SOPC硬件开发工具,软件调试工具的使用。下面主要从硬件和软件的部分进行介绍。 一、硬件部分设计 (1)运行Quartus II软件,选择File/New Project Wizard菜单,选择工程目录(自定义)、工程名以及顶层文件名为led_test,在选择器件设置对话框中选择目标器件为Cyclone系列的EP1C6Q240C8N,建立新工程。 (2)双击左侧Entitiy框中的器件名,弹出如下对话框,点击Device and pin options/Unused pins,在Reserved all unused pins中选择As input tri-stated。

(3)选择Tools/SOPC Builder菜单项,或者点击Quartus II软件右上方工具栏的,打开SOPC Builder程序。弹出Create New System对话框。在System Name 文本框中键入nios32(自拟,但与工程名不同),选择语言为VHDL,单击确定 (4)确认Device Family中我们选择的是Cyclone,系统频率为50MHz。如图: (5)下面开始添加系统需要的元件:Nios II32位CPU、JTAG UART Interface、led_pio、RAM。 (5.1)首先添加Nios II32位CPU:双击Nios II Processor,或单击选中后点击 Add按钮,然后在弹出的Nios II Processor设置对话框中设置添加CPU的参数,分别在Core Nios II和JTAG Debug Module选项中选择Nios II/e和level1,其他选项保持默认。Nios II有三种标准:经济型(Nios II/e)、标准型(Nios II/s)、 全功能型(Nios II/f)。本实验中选择经济型。

sopc 实验指导EP2C35

实验一Hello from Nios II 一.实验目的 1. 熟悉用Quartus II开发SOPC的基本流程。 2. 熟悉用SOPC Builder进行NiOS II CPU开发的基本流程。 3. 熟悉用NIOS II IDE进行C语言编译、下载的基本过程。 4. 掌握NIOS II 集成开发环境。 二.实验内容 实验完成的是一个简单的系统设计,系统中包括NIOS CPU 、作为标准输入/输出的JTAG UART、存储器on chip memory和SDRAM、并行输入输出PIO。通过SOPC 实现NIOS 系统配置、生成以及与NIOS II 系统相关的监控和软件调试平台的生成;在NIOS II IDE中完成系统软件开发和调试;通过Quartus II 完成NIOS 系统的分析综合、硬件优化、适配、配置文件编程下载以及硬件系统调试等。实验最终实现在NIOS II IDE 窗口打印一条信息——―Hello from Nios II ‖。 三.实验平台 硬件平台:SOPC 实验开发系统 软件平台:Quartus II 7.0 NIOS II IDE 四.实验原理 Altera 公司提供的Nios II 嵌入式微处理器软核专为SOPC系统设计核优化,是一种面向用户、可以灵活定制的通用RISC嵌入式处理器。它采用Avalon总线结构通信接口,带有增强的内存、调试和软件功能,可采用汇编或C、C++等进行程序优化开发。Nios II具有32位指令集、32位数据通道和可配置的指令及数据缓冲。与普通嵌入式CPU系统的特性不同,其外设可以灵活选择或增减,可以自定制用户逻辑为外设,可以允许用户定制自己的指令集。由硬件模块构成的自定制指令可通过硬件算法操作来完成复杂的软件处理任务,也能访问存储器或Nios II 系统外的接口逻辑。设计者可以使用Nios II及外部的Flash、ROM、SRAM等,在FPGA上构成一个嵌入式处理器系统。 基于NiOS II处理器软核的SOPC系统设计是一个软硬件协同开发的过程,在设计时可分为硬件核软件两部分,需要多款EDA软件和软件开发环境的相互协同配合。 SOPC的开发流程包括两个方面:基于Quartus II 和SOPC Builder的硬件开发和基于Nios II IDE 的软件开发。基本设计流程如下: (1)分析系统需求,设计规划系统哪些由硬件实现,哪些由软件实现; (2)启动Quartus II 并创建一个新的工程,建立系统的顶层模块文件; (3)启动SOPC Builder,添加需要的功能模块,定义和生成系统模块。这是整个开发流程中最核心的一步。在定义系统时,SOPC Builder可以根据用户 的编辑实时的生成sopc文件和ptf文件(7.1版本之前为ptf文件),类似 一个数据库文件,存储了系统设计信息; (4)将SOPC Builder生成的Nios II系统元件导入Quartus II,根据需要添加其他逻辑模块。按照Quartus II的开发流程最终生成FPGA的编程文件,完成 Nios II系统的硬件开发; (5)启动Nios II IDE集成开发环境,创建一个软件工程项目,并指向生成的Nios II硬件系统。 (6)在Nios II IDE下开发应用程序;

SOPC实验报告

SOPC系统设计技术实验报告 姓名: 学号: 院系:信息科学与工程学院 专业:电子科学与技术 指导老师: 完成日期:2015年04月25日

实验二、NIOSII实现串口收发数据及LCD显示 一、实验目的 (1)进一步熟悉Quartus II、SOPC Builder、NIOS II IDE的操作; (2)掌握SOPC硬件系统及NIOS II软件的开发流程。 二、实验内容 (1)、实验平台:硬件:PC级、SmartSOPC+教学实验开发平台;软件:Quartus II 9.0,SOPC Builder 9.0,NIOS II IDE 9.0。 (2)、实验内容:建立包含SDRAM、JTAG_UART、Timer、LCD的NIOS II处理器系统,通过JTAG_UART从IDE的控制端窗口读取输入值N,计算1至N的累加值,并将计算结果及计算花费时间的显示在LCD中。 三、实验步骤 3.1硬件设计 根据实验内容,可以得出本次实验的硬件结构图如图3.1所示: 图3.1 硬件设计结构图

具体硬件设计步骤如下: 1)、在Quartus II中建立一个工程命名为:smallCore,器件设置为EP3C55F484C8; 2)、以原理图输入方式建立空白顶层模块,并保持; 3)、打开SOPC Builder,命名SOPC系统名称为nios2system,开始建立NIOS II系统。 4)、双击SOPC Builder主界面左侧中的“Nios II Processor”,出现Nios II CPU的配置向导对话框,如图1.4所示,在这里可以有三种Nios II CPU选择,我们选择快速型的Nios II/f,不使用硬件乘法器及除法器。然后单击Next进入下一步配置;Instruction Cache项中选择2 Kbytes,在Data Cache项中选择512 Bytes,单击Next进行下一步配置;在“Advanced Features”和“MMU and MPU Settings”选项卡中选择默认参数,然后单击Next,到了“JTAG Debug Module”选项卡,如图1.6所示。这里是选择JTAG调试接口,选择默认的模式Level 1,然后单击Next,到了“Custom Instruction”选项卡,也选择默认参数,最后单击Finish完成对Nios II CPU的配置。 5)、添加了Nios II CPU内核后,选中Module Name下的cpu_0,单击鼠标右键,在Rename 项中可以重命名cpu_0的名称为cpu,并在“Clock Settings”一栏中将clk_0名称改为clk。 6)、双击在SOPC Builder主界面左侧中的Bridges and Adapters→Memory Mapped→Avalon-MM Clock Crossing Bridge,出现Clock Crossing Bridge的配置向导对话框,在“Slave-to-Master FIFO”中的FIFO depth中选择64。单击“finish”退出配置对话框,并重命名clock_crossing_0的名称为clock_crossing。 7)、双击在SOPC Builder主界面左侧中的Memories and Memory Controllers→SDRAM→DDR SDRAM High Performance Controller,出现DDR SDRAM High Performance Controller的配置向导对话框。修改“General Settings”选项卡的参数配置,参数修改如下:Speed grade:8 PLL reference clock frequency:85 Memory clock frequency:100 Local interface clock frequency:full 修改“Modify Parameters”:DDR SDRAM控制器参数,参数修改如下: Total Memory interface DQ width:16 Memory vendor:other

sopc实验指导书(1)

CON目录 第一章实验箱简介 (2) 第二章EDA实验单元 (5) 实验一七人表决器 (5) 实验二格雷码变换 (13) 实验三BCD码加法器 (15) 实验四四位全加器 (17) 实验五四人抢答器 (19) 实验六四位并行乘法器 (20) 实验七设计基本触发器 (21) 实验八设计74LS169计数器功能模块 (25) 实验九步长可变的加减计数器 (27) 实验十可控脉冲发生器 (28) 实验十一正负脉宽数控调制信号发生器 (30) 实验十二序列检测器 (32) 实验十三四位并行流水乘法器 (34) 实验十四出租车计费器 (37) 实验十五多功能数字钟 (39) 实验十六数字秒表 (41) 实验十七频率计 (43) 实验十八交通灯控制器 (45) 实验十九数码锁 (47) 实验二十VGA彩条发生器 (49) 附录 (51)

第一章实验箱简介 EDA/SOPC实验箱是集EDA和SOPC开发为一体的综合性实验箱,它不仅可以独立完成几乎所有的EDA设计,也可以完成大多数的SOPC开发。 采用Altera公司的Cyclone系列的12万门FPGA为核心,整个系统采用模块化设计,各个模块之间可以自由组合,使得该实验箱的灵活性大大提高。同时实验箱还提供了丰富的接口模块,供人机交互,从而大大增加了实验开发者开发的乐趣,满足了普通高等院校、科研人员等的需求。 开发工程师可以使用VHDL语言、Verilog HDL语言、原理图输入等多种方式,利用Altera公司提供的Quartus II及Nios软件进行编译,下载,并通过EDA/SOPC实验箱进行结果验证。实验箱提供多种人机交互方式,如键盘阵列、按键、拨挡开关输入;七段码管、大屏幕图形点阵LCD显示;串口通信;VGA接口、PS2接口、USB接口、Ethernet接口等,利用Altera 公司提供的一些IP资源和Nios 32位处理器,用户可以在该实验箱上完成不同的SOPC设计。 EDA/SOPC实验箱提供的资源有: ●Altera公司的EP1C6Q240C8,12万门级FPGA,另外可选配更高 资源的FPGA ●FPGA配置芯片采用可在线变成的EPC2,通过JTAG口和简单的 跳线即可完成设计的固化 ●1个数字时钟源,提供48MHz、12MHz、1MHz、100KHz、10KHz、 1KHz、100Hz、10Hz、2Hz和1Hz等多个时钟 ●1个模拟信号源,提供频率和幅度可调的正弦波、三角波和方波 ●两个串行接口,一个用于SOPC开发时的调试,另一个可以完成 其它的通信 ●1个VGA接口 ●1个PS2接口,可以接键盘或鼠标 ●1个USB接口,利用PDIUSBD12芯片实现USB协议转换 ●1个Ethernet接口,利用RTL8019芯片实现TCP/IP协议转换 ●基于SPI接口的音频CODEC模块 ●1个输入、输出探测模块,供数字信号的观察 ●16个LED显示 ●8个拨挡开关输入 ●8个按键输入 ●1个4X4键盘阵列 ●8个七段码管显示 ●1个扬声器模块 ●1个交通灯模块

实验七:SOPC的设计实验

本科学生综合性实验报告 学号114090523 姓名罗朝斌 学院物电学院专业、班级11光电子 实验课程名称电子设计自动化(EDA实验) 教师及职称罗永道副教授 开课学期2013 至2014 学年下学期填报时间2014 年 6 月9 日 云南师范大学教务处编印

实验序号七实验名称SOPC的设计 实验时间2014年6月9日实验室同析楼113 一.实验预习 1.实验目的: 1、学习字符型液晶显示器的控制原理 2、学习ram的使用 3、设计字符型液晶显示控制器 4、理解nios 处理器中LCD IP 核的工作原理,软硬件驱动方式,以及从flash 启动LCD 软件代码的详细操作过程,并能够灵活的运用到自己的实际开发中。 5、掌握SOPC的设计方法,熟悉和练习QuartusⅡ的应用。 2.实验原理、实验流程或装置示意图: 液晶屏幕分为点阵型和液晶型两种,本次实验用到的是字符型可以显示2行16个字。液晶模块采用14 针标准接口第1 脚:VSS为地电源第2 脚:VDD接5V 正电源第3 脚:V0 为液晶显示器对比度调整端,接正电源时对比度最弱,接地电源时对比度最高,对比度过高时会产生“鬼影”,使用时可以通过一个10K 的电位器调整对比度第4 脚:RS为寄存器选择,高电平时选择数据寄存器、低电平时选择指令寄存器。第5 脚:RW为读写信号线,高电平时进行读操作,低电平时进行写操作。当RS 和RW 共同为低电平时可以写入指令或者显示地址,当 RS 为低电平RW 为高电平时可以读忙信号,当RS 为高电平RW 为低电平时可以写入数据。第 6 脚:E端为使能端,当E 端由高电平跳变成低电平时,液晶模块执行命令。第7~14 脚: D0~D7 为8 位双向数据线。 1602正面图 1602背面图

SOPC实验报告

SOPC系统设计技术实验报告姓名: 学号: 院系: 信息科学与工程学院 专业:电子科学与技术 指导老师: 完成日期: 2015年04月25日

实验二、NIOSII实现串口收发数据及LCD显示 一、实验目的 (1)进一步熟悉Quartus II、SOPC Builder、NIOS II IDE的操作; (2)掌握SOPC硬件系统及NIOS II软件的开发流程。 二、实验内容 (1)、实验平台:硬件:PC级、SmartSOPC+教学实验开发平台;软件:Quartus II 9.0,SOPC Builder 9.0, NIOS II IDE 9.0。 (2)、实验内容:建立包含SDRAM、JTAG_UART、Timer、LCD的NIOS II处理器系统,通过JTAG_UART从IDE的控制端窗口读取输入值N,计算1至N的累加值,并将计算结果及计算花费时间的显示在LCD中。 三、实验步骤 3.1硬件设计 根据实验内容,可以得出本次实验的硬件结构图如图3.1所示: 图3.1 硬件设计结构图 具体硬件设计步骤如下: 1)、在Quartus II中建立一个工程命名为:smallCore,器件设置为EP3C55F484C8; 2)、以原理图输入方式建立空白顶层模块,并保持; 3)、打开SOPC Builder,命名SOPC系统名称为nios2system,开始建立NIOS II系统。

4)、双击SOPC Builder主界面左侧中的“Nios II Processor”,出现Nios II CPU的配置向导对话框,如图1.4所示,在这里可以有三种Nios II CPU选择,我们选择快速型的Nios II/f,不使用硬件乘法器及除法器。然后单击Next进入下一步配置;Instruction Cache项中选择2 Kbytes,在Data Cache项中选择512 Bytes,单击Next进行下一步配置;在“Advanced Features”和“MMU and MPU Settings”选项卡中选择默认参数,然后单击Next,到了“JTAG Debug Module”选项卡,如图1.6所示。这里是选择JTAG调试接口,选择默认的模式Level 1,然后单击Next,到了“Custom Instruction”选项卡,也选择默认参数,最后单击Finish完成对Nios II CPU的配置。 5)、添加了Nios II CPU内核后,选中Module Name下的cpu_0,单击鼠标右键,在Rename 项中可以重命名cpu_0的名称为cpu,并在“Clock Settings”一栏中将clk_0名称改为clk。 6)、双击在SOPC Builder主界面左侧中的Bridges and Adapters→Memory Mapped→Avalon-MM Clock Crossing Bridge,出现Clock Crossing Bridge的配置向导对话框,在“Slave-to-Master FIFO”中的FIFO depth中选择64。单击“finish”退出配置对话框,并重命名clock_crossing_0的名称为clock_crossing。 7)、双击在SOPC Builder主界面左侧中的Memories and Memory Controllers→SDRAM→DDR SDRAM High Performance Controller,出现DDR SDRAM High Performance Controller 的配置向导对话框。修改“General Settings”选项卡的参数配置,参数修改如下:Speed grade:8 PLL reference clock frequency:85 Memory clock frequency:100 Local interface clock frequency:full 修改“Modify Parameters”: DDR SDRAM控制器参数,参数修改如下: Total Memory interface DQ width:16 Memory vendor:other

实验十二SOPC的键盘与LCD显示控制实验4页

实验3 SOPC的键盘与LCD显示控制实验 【实验目的】 1.熟悉矩阵键盘的工作特性。 2.学会使用VHDL语言描述一个矩阵键盘的电路功能。 3.了解字符型液晶显示控制器。 4.掌握1602液晶的操作方法。 5.学会使用VHDL语言描述一个1602液晶控制的电路功能。 【实验内容】 1.使用VHDL语言描述一个矩阵键盘的电路功能,程序实现的功能是测试 4X4矩阵按键;具体的键值通过FPGA核心板上的数码管来显示。如按下K1,数码管就显示0,按下K16,数码管就显示F。 2.使用VHDL语言描述一个1602液晶显示屏控制的电路功能,使1602液 晶屏可以显示你自己的名字(拼音)。 【实验器材】 1. HS-EDA5.1实验箱 2.USB-BLASTER烧写器 3. Quartus II 8.0 【实验原理】 本实验需要使用VHDL语言描述一个矩阵键盘以及1602液晶控制的电路功能,下面我们先来介绍一下矩阵键盘和1602液晶显示屏。 1.矩阵键盘:键盘分编码键盘和非编码键盘。键盘上闭合键的识别由专用 的硬件编码器实现,并产生键编码号或键值的称为编码键盘,如计算机

键盘.而靠软件编程来识别的称为非编码键盘;在一般常用系统中,用的最多的是非编码键盘。也有用到编码键盘的。非编码键盘有分为:独立键盘和行列式(又称为矩阵式)键盘。 矩阵键盘结构图如上图 矩阵键盘的读取都经历一个扫描的过程,程序先将L1输出低电平,,即端口输入11111110, 即十六进制0FEH,然后读回端口的数据,此时如果 L1 上的四个按键没有按下,读到的P0 口的数据还是11111110,如按下了按键 8,H2 便被拉成低电平,读回的端口数据11011110(十六进制:0DEH),程序就根据读回的数据来判断某个按键按下了,如果没有按键按下,则再将 L2 输出低电平(P0=11111101,十六进制:0FDH),然后也一样读回端口数据,判断有按键按下否,如此下去,到 L4 的完成,为一个扫描过程。刚才按键 8 按下读回的数值是 0DEH,如果做为简单的程序,可以直接用0DEH 来认定是8 键的键值码,也可以认为它是一个无名键的键值码,所以上面写的 8 不是特定的,而只是序号,你怎么排列键序都可以,甚至认为是游戏手柄的上下左右出拳踢腿键都没问题,问题是按下某下按键后,你知道读回的键值是多少就可以了,键值才有用。 2.1602液晶显示屏:工业字符型液晶,能够同时显示16x02即32个字符,每个字符是5X7的点阵。1602液晶模块内部的字符发生存储器已经存储了160个不同的点阵字符图形,这些字符有:阿拉伯数字、英文字母的大小写、常用的符号、和日文假名等,每一个字符都有一个固定的代码,比如大写的英文字母“A”的代码是01000001B(41H),显示时模块把地址41H

SOPC基础实验一、LED闪烁实验

SOPC基础实验一、LED闪烁实验 一、实验目的: (1) 熟悉使用SOPC Builder定制NiosII软核系统的流程; (2) 掌握NiosII 软件编译工具的开发流程; (3) 熟悉NiosII 软件编译工具的调试工具; (4) 熟悉FPGA内部PLL的使用方法。 二、实验原理: 在本实验中利用QuartusII软件内嵌的SOPC Builder工具来构造实验硬件部分,在NIOSII软件编译工具中开发实验软件来控制核心板上的4个LED灯实现闪烁效果。 本实验涉及的IO分配表如下: FPGA_IO引脚分配表: 引脚名称 芯片IO CLK PIN_23 RESET PIN_94 LED1 PIN_99 LED2 PIN_97 LED3 PIN_96 LED4 PIN_95 相关的原理图如下:

原理说明:开发板上的4个LED采用共阳的方式连接,只要在LED阴极加低电平信号此LED 将被点亮,。 三、实验设备 硬件:达尔EDA实验室(https://www.wendangku.net/doc/ce6816436.html,)EP2C5型或EP2C8型FPGA/SOPC实验板。软件:QuartusII 9.1开发工具、NIOSII 软件编译工具。本实验配套的工程位于资料光盘\实验工程\ac2580_v3_demo\ep2c*\sopc_4led目录下,用户可以从中查阅本实验的程序,或者将该工程拷贝至合法路径(无中文或空格)下直接打开使用。 四、实验步骤: 1、打开QuartusII开发软件。 2、新建工程。 新建一个工程目录“sopc_4led”在该目录下建立一个名为“sopc_4led”的QuartusII工程,并新建一个顶层图,保存于工程中。详细的工程创建步骤可参照我们为您提供的“基础实验、基于FPGA的按键控制LED”实验手册。 3、用SOPC Builder 定制NiosII 处理器及其外设。 打开SOPC Builder, Tools->SOPCBuilder,或直接点击快捷按钮。要求指定系统名字,本例中我们输入daleda_niosii(注意:所有的命名都不允许有空格和特殊符号)。按OK 进入SOPC 定制界面: 选择时钟频率,Clock(MHz):本例选50.0;选择目标器件系列,Target Device Family:本例选CycloneII。 在SOPC 定制界面的左边,我们可以看到有很多可选部件,用户可以根据需要将对应的部件添加到系统中。

SOPC实验指导书

SOPC实验 3.1使用NiosⅡ IDE建立用户程序 1.创建一个新的C/C++应用工程 执行下面的步骤来创建一个新的C/C++应用工程: 1. 启动NiosⅡ IDE。选择【开始】??【程序】??【Altera】??【QuartusⅡ 5.0】??【NiosⅡ Development Kits 5.0】??【NiosⅡ IDE】启动NiosⅡ IDE。也可以通过图1.1直接点击按钮来启动Nios Ⅱ IDE。 图1 启动NiosⅡ IDE 2.如果出现Workspace Launcher对话框,单击设置工作空间为QuartusⅡ工程的文件夹,如图2所示,这样便于管理。如果是第一次进入工作区,NiosⅡ IDE会先弹出一个欢迎界面,此时点击右上角的Workbench图标,就可以进入NiosⅡ IDE编辑界面。 图2设置IDE工作空间 3.如图3所示,选择【File】??【New】??【C/C++ Application】来打开新建C/C++工程向导,如图4所示。 图3打开新建C/C++工程向导

图4新建C/C++工程向导 4.单击Select Target Hardware右侧的按钮打开Select Target Hardware窗口,选择 led_nios2_system.ptf文件,即指向当前硬件设计系统,如图5所示。 图5选择硬件目标文件 5.选择Select Project Template列表中的hello_led。Name栏中自动更新为hello_led_0,确认选 中Use Default Location栏,如图6所示,单击完成工程创建。

SOPC综合实验报告

基于SOPC的数字钟设计 学院:计控学院 班级:计本121 学号:2012021001 姓名:钟济强 指导老师:张浩鹏

一、设计概述 用Nios II DE2开发板的LCD显示电子钟的日期和时间,LCD分两行显示,第一行显示年月日,第二行显示时分秒。用输入按钮BUTTON来控制LCD行修改,通过Nios II IDE进行软件设计。 二、设计要求 1.掌握Nios II系统的设计和使用方法; 2.学习通过Nios II IDE进行软件设计的方法; 3.实现在液晶屏上显示时间和日期,并可以对其进行设置。 三、实验环境 DE2 开发板 QuartusII 7.2 SOPC Builder 7.2 NiosII IDE 7.2 四、设计方案(总体设计、软件设计、硬件设计) 1. 总体设计要实现以下两个功能: (1). 在液晶屏上显示时间,日期 (2). 对时间、日期能够进行设置 建立新工程clock 2. 硬件系统组成设计 根据系统要实现的功能和开发板配置,需要用到的DE2开发板上的外围器件有:LCD:电子钟显示屏幕 按钮:电子钟设置功能键 CFI Flash存储器:存储软、硬件程序 SDRAM存储器:程序运行时将其导入SDRAM 根据所用到的外设和器件特性,在SOPC Builder中建立系统要添加的模块包括:NiosII CPU定时器,按键PIO,LCD,外部存储器总线(Avalon三态桥),外部SDRAM控制器,外部Flash接口。

打开SOPC Buider,系统名称为sopc_clock 加入SDRAM组件

加入Flash Memory 组件

sopc 流水灯实验

实验3、流水灯实验 一、实验目的 熟悉并行输入、输出内核提供从NiOSII软核处理器到通用输入/输出端口之间的寄存器映射接口。 二、实验环境 PC机、Quartus II 13.0、Nios II 三、实验内容 按照书上介绍的方法和流程,完成H ello World 实验,包括N ios II 软核处理器系统的产生、编译、综合、Nios II EDS 工程创建、C 语言源文件的编辑及编译、配置目标F PGA 器件、下载观察实验结果 四、实验步骤 1、启动Quartus II 13.0,新建工程将其命名为l edsk,选择好目标芯片,然后设置没有用的引脚。 2、进入Tool-Qsys,进行如下图所示的硬件构架 (1)从左侧组件库输入p io,双击添加组件并进行如下设置,并改名为l ed18_pio。

(2)双击led18_pio 组件中export 栏输入led18_pio,这是设置该组件外部硬件连接端口(注意点击自动分配地址和自动连接复位端口选项)。 (3)将文件保存命名为l ed18.qsys,点击g enerate 生成硬件。

3、添加q ip 文件,新建如图所示的b df(Block Schematic File)文件,编译分配引脚,再编译。 4、由Nios II C/C++菜单File-New- Nios II Application and BSP from Template 打开新工程设置窗口如下图所示。找到led18.sopcinfo 文件,将软件工程命名为LED,并选择空白模板blank project.

5、在L ED 文件夹下新建l ed.c 文件。输入代码,Ctrl+s 保存。Ctrl+b 进行全编译,编译完成进行,进行板上运行,观察结果。 五、实验心得与体会 在这次实验中,主要是在NiosII上做出流水灯的实验,实验步骤大都与以往相同,在Quartus II上操作比较熟练。但也有很多不足,下一次会注意本次试验中的问题。

SOPC实验程序

实验一:FPGA_NIOS_II应用举例 #include "sys/alt_stdio.h" #include "unistd.h" int main() { alt_putstr("Hello from Nios II!\n"); /* Event loop never exits. */ while (1){ alt_putstr("Hello 0826!\n"); usleep(100000); } return 0; } 实验二:跑马灯实验(建立Quartus工程 1. 新建Quartus工程RunningLED,顶层实体名RunningLED 2. 重新设置编译输出目录为../ RunningLED/release) #include "system.h" #include #include "alt_types.h" #include // Internal Timer Overflow interrupt static void timer_overflow(void* context, alt_u32 id) { IOWR(TIMER_BASE, 0, 0); if(*(alt_u8*)context&0x80) { *(alt_u8*)context=0x01; } else { *(alt_u8*)context=*(alt_u8*)context<<1; } IOWR(PIO_LEDG_BASE, 0, *(alt_u8*)context); return; } int main( ) { alt_u8 led=0x01; // Register Interrupt Service Routine(ISR) alt_irq_register(TIMER_IRQ, (void*)&led, timer_overflow); while(1); }

SOPC课程设计实验报告

SOPC课程设计实验报告基于SOPC的警示灯设计 2013电子信息工程3班 李婕20134557 罗丹妮20134563

一、设计目的 1、熟悉掌握SOPC的基本流程 2、设计一个警示灯并满足基本要求 3、通过设计发现问题并解决 二、设计设备 1、硬件:PC机、SOPC-NIOS II EDA/SOPC系统开发平台 2、软件:QUARTUS II、SOPC Builder、NIOS II ID E 三、设计内容 ?初始状态为红灯(LED2)熄灭,绿灯(LED1)点亮,数码管显示为0。 ?当按键按下,红灯(LED2)闪烁,绿灯(LED1)熄灭,同时蜂鸣器响起,数码管开始倒计时9S,此状态持续时间为9s。 ?9s后,恢复初始状态。 四、设计步骤 1、使用Quartus II建立一个工程文件和顶层文件; 2、使用SOPC Builder建立一个简单Nios II硬件系统 1)启动SOPC Builder 2)指定目标FPGA 3)添加NiosII内核及其他外设 A、添加NiosII、SRAM、JTAG-UART、Avalon总线的I P 核

B、添加一个2位的输入型PIO作为按键key C、添加两个1位的输出型PIO作为 led1及led2的输出端口 D、添加一个1位的输出型PIO作为蜂鸣器的输入端口A E、添加一个3位的输出型PIO作为数码管的位选sel F、添加一个8位的输出型PIO作为数码管的段选dat

4)指定基地址和中断优先级 5)设置NiosII复位和异常地址 6) 编译生成NiosII系统 SOPC Builder行程图如下:

sopc实验报告

武汉工业学院数学与计算机学院 SOPC技术实验报告 姓名: 班级: 学号: 任课教师:

实验1、Hello World实验 1、1实验目的 学习基于Nios II软核处理器的设计流程 1、2实验环境 PC机、Quartus II 10.0、Nios II IDE 10.0、开发板DE2-115 1、3实验内容 按照书上介绍的方法和流程,完成Hello World实验,包括Nios II软核处理器系统的产生、编译、综合、Nios II IDE工程创建、C语言源文件的编辑及编译、配置目标FPGA器件、下载观察实验结果 1、4实验步骤 之前选定的是八段电子管的实验,因为难度过大,改为了实验hello world Stept1、启动Quartus II 10.0,如图1-1所示 图1-1 Stept2、建立工作库目标文件夹以便设计工程项目的存储 (1)单击File/New Project Wizard,弹出“工程设置”对话框,新建工程如图1-2所示

图1-2 第1行的E:/hello表示工程所在的工作库文件夹,第2行表示工程的工程名,此工程名可以去取任何其它的名,也可以用顶层文件的实体名作为工程名;第3行表示当前工程顶层文件的实体名,此处为hello,也可单击对话框右侧的“…”选择输入 (2)加入设计文件 单击Next按钮,在弹出对话框中单击File栏中的“…”,此处不需要添加设计文件,所以此处只需要单击Next按钮即可,如图1-3所示 图1-3 (3)选择目标芯片 这时弹出选择目标芯片的窗口,首先在Family栏选择目标芯片系列,在此选择Cyclone系列,选择此系列的具体芯片EP4CE115F29C7,如图1-4所示

2014年 SOPC期末实验报告

上海电力学院SOPC实验报告 实验名称:2014SOPC期末实验报告专业: 姓名: 班级: 学号:

一、实验目的: (1)了解简单按键设计及其编程。 (2)熟悉相关IO操作函数。 (3)了解中断原理。 二、实验内容 按要求初始化小灯0xF0,之后,按0键,LEDG0亮,同时终端显示KEY0,,按1键,LEDG1亮,同时终端显示KEY1,,按2键,LEDG2亮,同时终端显示KEY2,,按3键,LEDG0,LEDG1,LEDG2全灭,同时终端显示KEY3,按其它键没反应 三、实验原理 PIO按照功能可以分为:输入IO、输出IO、三态IO;PIO是通过Avalon总线与NiosII相连的。 四、实验步骤 第一步:硬件部分设计 (1)在D:\sopc\works\下建立一个hello_led文件夹 (2)打开QuartusII,点击下拉菜单中的New project Wizard…会弹出如图3.1.1对话框 (3)输入工程存放目录,或点击工程路径右面的按钮设置工程存放目录,在第二栏中输入工程名称,这里输入为led;点击finish,此时我们建立好了LED工程 文件; (4)点击Assignments菜单中的Device,选择芯片EP2C35F672C6如下图3.1.2所示; 图3.1.2 选定器件

(5)点击Tools下拉菜单下的SOPC Builder工具,出现如图3.1.3对话框: 图3.1.3 设定名称 (6)在系统名称(System Name)中填写为NiosII32,选择语言为VHDL后点击OK,在Device Family中选择使用芯片的系列,这里选Cyclone II,更改系统频率为50MHz,在Board中暂时先不选,使用Unspecified Board,如图3.1.4所示; 图3.1.4 设定芯片及系统时钟 (7)在左面元件池中选择元件,我们这里需要使用NiosII 32BIT CPU、调试串口、led PIO、RAM,首先选择如图3.1.5所示的Nios Processor,双击后会弹出如图3.1.6所示对话框;在JTAG Debug Module栏中选择level 1,点击Finish按钮后返回SOPC Builder窗口,将CPU_0重新命名为CPU如图3.1.7所示;

SOPC实验1_流水灯

第1章SOPC实验1-流水灯 1.1 实验目的 熟悉使用QuartusII软件;熟悉使用SOPC Builder建立自己的Nios2系统;熟悉使用Nios II IDE软件进行编程、调试和下载程序。 1.2 实验设备 硬件:PC机一台MagicSOPC教学实验开发平台一套软件:Quartus II7.0、Nios II IDE 7.0 1.3 实验内容 本实验的内容是使用QuartusII中的SOPC Builder建立一个NiosII系统,并使用NiosII IDE编写C程序,在NiosII IDE中下载程序、调试程序。 1.4 实验预习要求 预习《SOPC嵌入式系统实验教程二》第3章3.3节,熟悉建立带DDR SDRAM的NiosII 系统;熟悉PIO外设的使用。 1.5 实验步骤 1.5.1使用Quartus II建立工程 仿照Quartus II7.0软件使用的实验,建立一个Nios2_system的工程,并使工程名与实体名相同。 1.5.2建立Nios II 系统硬件 (1)在Quartus II主界面中选择File→New打开新建文件对话框,如图1.1所示,在对话 框中选择Block Diagram/Schematic File,单击OK建立一个空的顶层原理图设计文 件。 图1.1 新建文件对话框

(2)在Quartus II主界面中选择Tools→SOPC Builder打开如图1.2所示的对话框,在 System Name一栏中填入Nios II 系统的名称nios2_ep2c35,然后单击OK进入到如图1.3所示的SOPC Builder的主界面。 图1.2 Create New System对话框 图1.3 SOPC Builder的主界面 (3)双击SOPC Builder主界面左侧中的“Nios II Processor-Altera Corporation”,出现 Nios II CPU的配置向导对话框,如图1.4所示,在这果可以有三种Nios II CPU选择,我们选择快速型的Nios II/f,然后单击Next进入下一步配置。 图1.4Nios II CPU配置向导

相关文档