文档库 最新最全的文档下载
当前位置:文档库 › 集成电路版图培训实验报告

集成电路版图培训实验报告

集成电路版图培训实验报告
集成电路版图培训实验报告

淮海工学院

电子工程学院

实习报告书

实习名称:专业实习

实习地点:苏州集成电路设计中心

实习时间:—

专业名称:电子科学与技术

班级:电科121

姓名:郝秀

学号:

1 引言

大学生专业实习是大学学习阶段在完成一定的课程后所要进行的非常重要

的一个实践环节,实习是每一个合格的大学生必须拥有的一段经历,它使我们在实践中增强专业意识和实践意识。这次专业实习学校安排我们到苏州国际科技园进行为期五天的实习,在实习期间,我们得到了实习公司的大力支持,更有相关培训老师的的悉心培训指导,通过实习使我们对自己未来工作方向有了更清晰认识,为我们以后进一步走向社会打下坚实的基础。

2 实习目的

专业实习是电子科学与技术专业安排在校外进行的实践性教学环节,也是在专业基础课、专业课等基本学完之后的又一次实践性教学。其目的让学生了解实际的集成电路芯片的设计、版图绘制和检测等过程。把学过的理论知识与实际有机结合起来,为后续专业课的学习以及以后走向工作岗位打下一定的基础。

3 实习目标

(1)熟悉集成电路版图设计、集成电路测试技术、半导体器件识别等。

(2)熟悉集成电子产品制造技术,了解集成电子产品生产装配工艺和过程,生产安全操作规范。熟悉集成电子产品检测,集成电子产品的调试。

(3)通过行业报告、参观展厅、参观封装厂等了解集成电路行业。CMOS电路设计。学会行业软件使用:Linux基本操作及实践练习、EDA工具培训与练习、物理版图设计的基础概念。

(4)学习现场工作人员的优秀品质和敬业精神,培养正确的劳动观念和独立工作能力。

4 实习内容

(1)集成电路行业报告、实训课(测试、版图、行业软件使用)、参观展厅、参观工厂(芯片封装厂)。

(2)基础理论:集成电路行业介绍、CMOS电路设计、半导体物理。工具使用:Linux基本操作及实践练习、EDA工具培训与练习、物理版图设计的基础概念。标准单元实践:STDCELL练习项目实践:MACROCELL练习、综合练习PLL、后端数据处理。

(3)基础知识:数字信号的测试技术、V93K的硬件介绍、基于V93K的软件介绍及测试程序的建立。测试编程与离线调试:V93K的在线测试系统、V93K 的离线工作环境。

5 实习公司简介

苏州国际科技园是苏州工业园区科技创新、知识创新和企业孵化的重要载体,它是国家级科技企业孵化器、软件产业基地、动画产业基地、中国服务外包示范基地以及中国海外高层次人才创业创新示范基地。苏州国际科技园建于2000年,以云计算产业为基础,引进和培育软件、融合通讯、IC设计、数码娱乐等特色产业,发展以业务流程外包、信息技术外包为核心的服务外包产业,以及以动漫游戏、工业设计为核心的创意产业。苏州中科集成电路设计中心成立于2003年8月8日,是苏州市政府和中科院计算技术研究所联合在苏州工业园区成立的非赢利性机构。公司是江苏省高新技术企业,已经通过ISO9001质量体系认证,多次被评为A级劳动保障信誉单位。中心目前共建成EDA设计、IC测试、物理设计服务、人才培养、产品工程研发服务、产业化及创业孵化等七大服务平台。中心将充分利用中科院技术和人才优势,服务、引导整个苏州市乃至江苏省的集成电路产业迅猛发展,实现龙芯以及其他重要科技成果产业化。

6 实习过程

集成电路设计培训及产业发展讲座

苏州中科集成电路设计中心相关人员给我们做了中科集成电路人才实训基地简介,使我明白了苏州中科致力于建设一个面向集成电路产业(包括设计、制造、封装测试、应用以及相关配套产业)的人才培养平台。通过这个平台,苏州中科积极吸引来自业界、高校以及海内外科研院所的部分资源,结合中心内部的资源优势,为本地区产业的发展提供从技术员到工程师、技术到市场管理全方位的支持服务。目前,中心人才培养平台主要开展了以下几个方面的工作:①IC 工程师培养,培养对象为高校学生、有志于从事IC相关工作的社会人员及相关在职人员,提供零起点的工程师培养计划。现已开展IC后端设计师、IC测试工程师、嵌入式系统设计师等职业的培养工作。②学历教育,培养对象为企业在职和希望进一步提高自身知识水平的社会人员,中心将结合自身专业的培训经验和教材、师资方面的力量,与高校开展合作,共同为本地区产业提供包括成人教育、在职工程硕士培养的学历教育服务。现已成为中国科学院苏州培养点,开展有集成电路领域和计算机技术领域的在职工硕士培养工作。③短期培训服务,培养对象为企业在职人员,通过邀请来自业界及原始厂商工程技术人员,以公开课和技术讲座、技术论坛的方式为有一定工作经验的工程技术人员提供技术拓展、提高和相互交流的机会。④企业人才定向培养服务,接受企业委托,与高校合作开展技术人员的定向培养服务。技术咨询顾问服务,针对企业遇到的各类技术难题,利用中心及合作伙伴的资源,帮助企业进行技术咨询,解决技术难题。

参观集成电路实验室

听完讲座之后,老师带我们去参观了实验室,有专门的实验操作老师给我们讲解了实验流程,并给我们展示了相关产品。如图一,如图二。

图一

图二

版图设计实训

从6日下午开始我们开始了五天的集成电路版图实训,通过使用Virtuoso 版图设计工具,初步懂得了绘制版图的方法。Cadence是CADENCE公司生产的集成电路设计产品的总称,属于目前世界上占垄断地位的几个优秀的EDA软件之一,它在仿真、电路图设计、自动布局布线,版图设计及验证?等方面都占有绝对优势,尤其是Cadence提供Virtuoso版图设计及验证工具的强大的功能是其它电子设计自动化工具无法比拟的,所以一直以来受到广大EDA工程师的青睐。版图的设计是集成电路设计中的重要环节,是把每个元件的电路表示转换成集合表示,同时,元件间连接的线网也被转换成集合连线图形,与电路设计不同的是版图设计必须考虑具体的工艺实现。因此,使用Virtuoso就是采用一定具体工艺的前提下,一般是某个公司的*.tf文件,它是对绘制版图采取工艺的一些约束限制,里面详细规定了比如说有源区(active)、P注入(pim)等部分规则的严格规定,也就是我们常说的设计规则,每个公司表示方法不一样,但是采取的同种工艺设计出来的版图是通用的。

PMOS、NMOS的版图

PMOS低电平导通,NMOS高电平导通。版图如图三,四。

图三 PMOS版图

图四 NMOS版图

非门原理及其版图

非门,又称反相器,是逻辑电路的基本单元,非门有一个输入和一个输出端。逻辑符号中输出端的圆圈代表反相的意思。当其输入端为高电平(逻辑1)时,输出端为低电平(逻辑0),当其输入端为低电平时,输出端为高电平。也就是说,输入端和输出端的电平状态总是反相的。版图如图五。

图五非门版图

与非门原理及其版图

与非门是数字电路的一种基本逻辑电路。若当输入均为高电平(逻辑1),则输出为低电平(逻辑0);若输入中至少有一个为低电平(逻辑0),则输出为高电平(逻辑1)。与非门可以看作与非门是与门和非门的结合,先进行与运算,再进行非运算。与非运算输入要求有两个,如果输入都用0和1表示的话,那么与运算的结果就是这两个数的乘积。如1和1(两端都有信号),则输出为0;1和0,则输出为1;0和0,则输出为1。与非门的结果就是对两个输入信号先进行与运算,再对此与运算结果进行非运算的结果。版图如图六所示。

图六与非门原理图

变换的与非门如图七,图八所示。

图七

图八

在版图绘制过程中,必须时刻结合着电路原图,按照其实现的功能和参数设计版图,否则所绘制的版图将是不合格的。可以运行DRC和LV来进行测试。

版图测试实训

最后一天我们又进行了集成电路测试实训,对Verigy 93000 SOC测试系统有了初步了解,到实验室直观地看到了芯片的测试设备,懂得了芯片的测试过程。测试概图如图九所示。

图九测试概图

图十 V93000测试

企业参观

7月9日下午,我们对集成电路设计企业进行了参观,参观的企业是智原公司(原名秉亮)科技(苏州)有限公司,该公司于2007年8月在苏州工业园区成立,由世界着名ASIC设计服务暨IP研发销售领导厂商—智原科技股份有限公司(台湾上市企业)百分之百投资设立。智原科技从1993年成立迄今,已经成功为客户设计出数千款芯片,平均全球每月出货量达数百万片。而客户对智原科技专业技术与服务的高度满意,也让智原近十年来的综合年成长率突破35%。依托智原科技强大的资金、技术以及人力的支持,秉亮科技立足于中国大陆、专注于在嵌入式存储器、物理设计和后端设计以及混合信号设计等领域为客户提供优质的芯片设计及IP销售服务。

7 实习心得

在苏州为期一周的实习中,我学到了很多书本上学不到的知识。在老师的讲解下,我们对我们从事的电子专业有了更好更深刻的认识,这对我们以后的就业有很大的帮助,只有认清自己的专业形势,才能在将来的就业中找到正确的定位,这样才能找到真正适合自己的工作。

由于我们的培训只有短短的一周,所以很多内容我们仅仅是浅尝辄止,无法进行更加深入的学习,但这对我们没有多大的影响。我们这一周的实习重点了解的就是我们要干什么,而不是我们要怎么干。所以这一周的实习对我们来说影响还是很大的。我们熟悉了整个版图设计的操作流程,虽然在专业知识领域我们还有很大的欠缺,但是,学习知识的过程是永无止境的,只要我们有一颗永远奋发的心,知识的欠缺是可以弥补的。

这次的实习,不管是技术上还是管理上,都让我有了更深的认识。技术是基础,所以,要想成为一个优秀的人才,我们一定要掌握好自己专业领域的东西。其次,提高自己的内在修养,做一个有胆识、有魄力的新青年才可能成为管理层

的优秀人才。所以我们要全面地发展自己,多多实践,注重团队意识的培养,相信一定可以拥有自己的一片蓝天。

通过这次的实习,我发现我在专业技能方面还欠缺很多,在实际操作方面也有很多的不足。这就督促了我们在这最后一年的时间里努力提高自己的专业素养,为以后走上工作岗位多积累一些资本,毕竟实力才是考察一个人最终成绩的标杆。总而言之,我们要不断奋进,不懂汲取新的知识,紧跟时代的潮流,为毕业以及我们以后的人生做好充足的准备。

集成电路设计实验报告

集成电路设计 实验报告 时间:2011年12月

实验一原理图设计 一、实验目的 1.学会使用Unix操作系统 2.学会使用CADENCE的SCHEMA TIC COMPOSOR软件 二:实验内容 使用schematic软件,设计出D触发器,设置好参数。 二、实验步骤 1、在桌面上点击Xstart图标 2、在User name:一栏中填入用户名,在Host:中填入IP地址,在Password:一栏中填入 用户密码,在protocol:中选择telnet类型 3、点击菜单上的Run!,即可进入该用户unix界面 4、系统中用户名为“test9”,密码为test123456 5、在命令行中(提示符后,如:test22>)键入以下命令 icfb&↙(回车键),其中& 表示后台工作,调出Cadence软件。 出现的主窗口所示: 6、建立库(library):窗口分Library和Technology File两部分。Library部分有Name和Directory 两项,分别输入要建立的Library的名称和路径。如果只建立进行SPICE模拟的线路图,Technology部分选择Don’t need a techfile选项。如果在库中要创立掩模版或其它的物理数据(即要建立除了schematic外的一些view),则须选择Compile a new techfile(建立新的techfile)或Attach to an existing techfile(使用原有的techfile)。 7、建立单元文件(cell):在Library Name中选择存放新文件的库,在Cell Name中输 入名称,然后在Tool选项中选择Composer-Schematic工具(进行SPICE模拟),在View Name中就会自动填上相应的View Name—schematic。当然在Tool工具中还有很多别的

集成电路实验报告报告—2008301200188王晓东

武汉大学教学实验报告 实验名称集成电路实验指导教师孙涛姓名王晓东年级08 学号2008301200188 成绩 一、预习部分 1.实验目的 2.实验基本原理 3.主要仪器设备(含必要的元器件、工具)

实验一:Shell命令与Solaris9桌面管理 一.实验目的 了解Sorlaris 平台发展历史,Unix 操作系统的主要三个部分。掌握Unix 的Shell 基本命令,公共桌面管理(Common Desk Environment)基本操作,Unix 的文件管理。 二.预备知识与实验原理 计算机基本知识,Unix 操作系统发展的历史、特点,基本UNIX Shell 文件管理命令(见本章第一节)。 三.实验设备与软件平台 Unix 服务器,工作站。 四.实验内容与要求 熟悉三种UnixShell,及基本文件管理命令行命令: 掌握UnixShell 的基本命令、使用、参数意义;并学会使用帮助; 熟悉Unix 文件管理系统; 基本掌握Sorlaris 公共桌面管理平台(CDE)。 五.实验步骤 1. 分别完成并熟练掌握如下实验内容(参阅第一节内容) Bourneshell($) Kornshell($) Cshell(%) ls 显示文件名 cd 目录转换 mkdir 创建目录 rmdir 删除目录 cp 文档复制 find 文件查找 vi 编辑器 geidt 编辑器 man 帮助 exit 系统退出 reboot 系统重启 pwd 显示当前路径 二、实验操作部分 1.实验操作过程(可用图表示) 2.结论

2. Sorlaris 操作系统的三个基本组成,熟悉命令行下的文件管理,子目录等。 3. CDE(公共桌面环境) (1)geidt 编辑文本文件 (2)在CDE 下运行可执行程序 (3)文件管理 思考题 1.简述UNIX 操作系统的三个组成部分。 答:UNIX 操作系统是基于文件的,其三个主要部分是Kernel(内核)、Shell、文件系统。Kernel是操作系统的核心,Shell是用户与kernel之间的接口。它就像是命令的解释器或翻译器。Solaris环境的文件结构是分层的目录树结构,类似于DOS的文件结构。2.简述UNIX 演化过程和特点。 答:最早的计算机都采用的是批处理的方式,耗费的时间和财力都比较大,为克服这一缺点,贝尔实验室研制了一种较为简单的操作系统即UNIX。随着许多商业机构和学术机构的加入,使UNIX得到了迅速的发展。直至今天拥有强大功能、性能良好的的UNIX 系统。 UNIX系统具有可移植性好、可靠性高、伸缩性强、开放性好、网络功能强、数据库支持强大、用户界面良好、文本处理工具强大而完美、开发环境良好、系统审计完善、系统安全机制强、系统备份功能完善、系统结构清晰、系统的专业性和可制定性强的特点。 3.何为UNIX shell?有那些常用shell 命令? 答:UNIX Shell 是Unix 内核与用户之间的接口,是Unix 的命令解释器。常用的shell 命令有Bourne Shell(sh)、Korn Shell(ksh)、C Shell(csh)、Bourne-again Shell (bash)。 实验二:Tcl脚本命令与编程——从1到100的累加 一. 实验目的 掌握Tcl 基本命令,脚本编程的语法,数据类型、控制结构命令,以及基本Tcl 脚本 编程。 二. 预备知识与实验原理 见本章第二节,Tcl/Tk 脚本基础。 三. 实验设备与软件平台 UNIX 服务器一台,工作站数台,Tcl 8.3.2。 四. 实验要求 (1)掌握Tcl 的基本语法、命令结构。 (2)编写脚本程序实现1 到100 的累加。 五. 实验步骤 阅读第二节内容并完成如下实验:

集成电路版图设计报告

北京工业大学集成电路板图设计报告 姓名:张靖维 学号:12023224 2015年 6 月 1日

目录 目录 (1) 1 绪论 (2) 1.1 介绍 (2) 1.1.1 集成电路的发展现状 (2) 1.1.2 集成电路设计流程及数字集成电路设计流程 (2) 1.1.3 CAD发展现状 (3) 2 电路设计 (4) 2.1 运算放大器电路 (4) 2.1.1 工作原理 (4) 2.1.2 电路设计 (4) 2.2 D触发器电路 (12) 2.2.1 反相器 (12) 2.2.2 传输门 (12) 2.2.3 与非门 (13) 2.2.4 D触发器 (14) 3 版图设计 (15) 3.1 运算放大器 (15) 3.1.1 运算放大器版图设计 (15) 3.2 D触发器 (16) 3.2.1 反相器 (16) 3.2.2 传输门 (17) 3.2.3 与非门 (17) 3.2.4 D触发器 (18) 4 总结与体会 (19)

1 绪论 随着晶体管的出现,集成电路随之产生,并极大地降低了电路的尺寸和成本。而由于追求集成度的提高,渐渐设计者不得不利用CAD工具设计集成电路的版图,这样大大提高了工作效率。在此单元中,我将介绍集成电路及CAD发展现状,本次课设所用EDA工具的简介以及集成电路设计流程等相关内容。 1.1介绍 1.1.1集成电路的发展现状 2014年,在国家一系列政策密集出台的环境下,在国内市场强劲需求的推动下,我国集成电路产业整体保持平稳较快增长,开始迎来发展的加速期。随着产业投入加大、技术突破与规模积累,在可以预见的未来,集成电路产业将成为支撑自主可控信息产业的核心力量,成为推动两化深度融合的重要基础。、 1.1.2集成电路设计流程及数字集成电路设计流程 集成电路设计的流程一般先要进行软硬件划分,将设计基本分为两部分:芯片硬件设计和软件协同设计。芯片硬件设计包括:功能设计阶段,设计描述和行为级验证,逻辑综合,门级验证(Gate-Level Netlist Verification),布局和布线。模拟集成电路设计的一般过程:电路设计,依据电路功能完成电路的设计;.前仿真,电路功能的仿真,包括功耗,电流,电压,温度,压摆幅,输入输出特性等参数的仿真;版图设计(Layout),依据所设计的电路画版图;后仿真,对所画的版图进行仿真,并与前仿真比较,若达不到要求需修改或重新设

《集成电路设计》课程设计实验报告

《集成电路设计》课程设计实验报告 (前端设计部分) 课程设计题目:数字频率计 所在专业班级:电子科 作者姓名: 作者学号: 指导老师:

目录 (一)概述 2 2 一、设计要求2 二、设计原理 3 三、参量说明3 四、设计思路3 五、主要模块的功能如下4 六、4 七、程序运行及仿真结果4 八、有关用GW48-PK2中的数码管显示数据的几点说明5(三)方案分析 7 10 11

(一)概述 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得十分重要。测量频率的方法有多种,数字频率计是其中一种。数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,是一种用十进制数字显示被测信号频率的数字测量仪器。数字频率计基本功能是测量诸如方波等其它各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。 频率计的基本原理是应用一个频率稳定度高的时基脉冲,对比测量其它信号的频率。时基脉冲的周期越长,得到的频率值就越准确。通常情况下是计算每秒内待测信号的脉冲个数,此时我们称闸门时间是1秒。闸门时间也可以大于或小于1秒,闸门的时间越长,得到的频率值就越准确,但闸门的时间越长则每测一次频率的间隔就越长,闸门时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。 本文内容粗略讲述了我们小组的整个设计过程及我在这个过程中的收获。讲述了数字频率计的工作原理以及各个组成部分,记述了在整个设计过程中对各个部分的设计思路、程序编写、以及对它们的调试、对调试结果的分析。 (二)设计方案 一、设计要求: ⑴设计一个数字频率计,对方波进行频率测量。 ⑵频率测量可以采用计算每秒内待测信号的脉冲个数的方法实现。

集成电路综合实验报告

集成电路设计综合实验 题目:集成电路设计综合实验 班级:微电子学1201 姓名: 学号:

集成电路设计综合实验报告 一、实验目的 1、培养从版图提取电路的能力 2、学习版图设计的方法和技巧 3、复习和巩固基本的数字单元电路设计 4、学习并掌握集成电路设计流程 二、实验内容 1. 反向提取给定电路模块(如下图1所示),要求画出电路原理图,分析出其所完成的逻辑功能,并进行仿真验证;再画出该电路的版图,完成DRC验证。 图1 1.1 查阅相关资料,反向提取给定电路模块,并且将其整理、合理布局。 1.2 建立自己的library和Schematic View(电路图如下图2所示)。 图2 1.3 进行仿真验证,并分析其所完成的逻辑功能(仿真波形如下图3所示)。

图3 由仿真波形分析其功能为D锁存器。 锁存器:对脉冲电平敏感,在时钟脉冲的电平作用下改变状态。锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,当锁存器处于使能状态时,输出才会随着数据输入发生变化。简单地说,它有两个输入,分别是一个有效信号EN,一个输入数据信号DATA_IN,它有一个输出Q,它的功能就是在EN有效的时候把DATA_IN的值传给Q,也就是锁存的过程。 只有在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号。其中使能端A 加入CP信号,C为数据信号。输出控制信号为0时,锁存器的数据通过三态门进行输出。所谓锁存器,就是输出端的状态不会随输入端的状态变化而变化,仅在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号到来时才改变。锁存,就是把信号暂存以维持某种电平状态。 1.4 生成Symbol测试电路如下(图4所示) 图4

模拟集成电路版图设计和绘制

电子科技大学 实验报告 学生姓名:连亚涛/王俊颖学号:2011031010032/0007指导教师:王向展实验地点:微固楼606实验时间:2014.6. 一、实验室名称:微电子技术实验室 二、实验项目名称:模拟集成电路版图设计和绘制 三、实验学时:4 四、实验原理 参照实验指导书。 五、实验目的 本实验是基于微电子技术应用背景和《集成电路原理》课程设置及其特点而设置,为IC设计性实验。其目的在于: 根据实验任务要求,综合运用课程所学知识自主完成相应的模拟集成电路版图设计, 掌握基本的IC版图布局布线技巧。 学习并掌握国际流行的EDA仿真软件Cadence的使用方法,并进行版图的的设计。 六、实验内容 1、UNIX操作系统常用命令的使用,Cadence EDA仿真环境的调用。 2、根据设计指标要求,自主完成版图设计,并掌握布局布线的基本技巧。 七、实验仪器设备 (1)工作站或微机终端一台

八、实验步骤 1、根据实验指导书熟悉UNIX操作系统常用命令的使用,掌握CadenceEDA 仿真环境的调用。 2、根据设计指标要求,设计出如下图所示的运算放大器电路版图,过程中应注意设计规则。 九、实验数据及结果分析: 1、通过本次实验掌握了UNIX操作系统常用命令的使用,Cadence EDA仿真环境的调用。达到了实验目的。 2、根据设计指标要求,设计出运算放大器模拟集成电路版图。 (备注:小组共同完成) 十、实验结论: 通过这次实验,学习并掌握国际流行的EDA仿真软件Cadence的使用方法,完成了运算放大器集成电路版图的设计,其难点是版图的布局布线和设计规则的理解。 十一、总结及心得体会: 2学会了cadence在linux下的使用,在回去安装Ubuntu的过程中发生了很多错误,有了一定的提高,让我了解到使用免费破解的专业软件的不易。其次,cadence使用过程中,有很多技巧值得认真学习,如左手键盘右手鼠标操作,以及先画基本的接触孔,再画mos管,再用已有的Mos管拼接出其他宽长比的方法。同时,学会了如何提高画图效率的“偷懒”的办法。 当然,还有很多的不足,比如有些地方容易忽略版图的规则没有全局考量,造成重复赶工。在一些技巧上,如画不规则多边形保护环的方法还是太笨,没有用聪明的方法(多次shift+c)。

集成电路实验报告 (2)

实验 3 使用T-Spice 进行单元电路的瞬时分析3.1 实验目的及要求 1.进一步熟悉Tanner Pro 软件中T-Spice 软件的使用; 2.掌握使用T-Spice 分析简单电路的方法与操作流程,从而学会分析较为复杂的逻辑电路。 3.2 实验内容 3.2.1 反相器瞬时分析 (1)打开S-Edit,由于本实例中所使用的电路需要在反相器电路的基础上进行适当修改,为不影响后面的版图设计,同学们可以建立新文件EX3,将EX2 中反相器模块复制到EX3 文件中,再打开加入电源进行适当修改即可。反相器电路设计较为简单,在此只是教大家掌握复制模块的方法,希望大家掌握。 (2)复制inv 模块方法如下:先打开实验 2 中设计的“EX2.sdb”。进行复制前必须回到EX3 文件环境,方法为选择Module->Open 命令,打开Open Module 对话框,在Files下拉列表中选择EX3,单击OK 回到EX3 环境,才能进行复制模块操作。选择Module->Copy命令,打开Copy Module 对话框,在下拉列表中选择EX2 选项,在Select Module To Copy列表中选择inv 选项,单击OK 按钮即可。 (3)加入工作电源:inv 模块在电路设计模式下,选择Moudle->Symbol Browser 命令,在Library 列表框中选择spice 组件库,其中有很多电压源符号,选取直流电压源Source_v_dc 作为此电路的工作电压源。直流电压源Source_v_dc 符号有正(+)端与负(-)端。在inv 模块编辑窗口中直流电压源有两种接法可以直接连线接到原电路图的Vdd 与Gnd,也可另外复制两个Vdd 与Gnd(Ctrl+C 复制Ctrl+V 粘贴)接到电压源正负极,虽然两个全域符号Vdd 与Gnd 符号分开放置,但两个分离的Vdd 符号实际上是接到同一个节点,而两个Gnd 符号也是共同接地的。 (4)加入输入信号:选择Moudle->Symbol Browser 命令,在Library 列表框中选择spice 组件库,选取脉冲电压源Source_v_pulse 作为反相器输入信号,将脉冲电压源Source_v_pulse 符号的正端接输入端口in,负端接Gnd,编辑完成。为避免文件混杂且便于分辨可将原模块名称改为“inv_tran”,方便日后应用于其他的分析中。 (5)输出成SPICE 文件:此操作有两种方法前面已经介绍过了,可以直接单击S-Edit右上方的按钮,则会自动输出成SPICE 格式并打开T-Spice 程序。 (6)加载包含文件:由于不同的流程有不同的特性,在模拟之前必须要引入MOS 组件的模型文件,此模型文件内有包括电容电阻系数等数据,以供T-Spice 模拟之用。本实验是引用 1.25um 的CMOS 流程组件模型文件“m12_125.md”。鼠标移至主要电路前,选择Edit->Insert Command 命令或点击,打开T-Spice Command Tool 对话框,在左边列表框中选择Files选项。此时窗口将出现3个选项,单击Include Files按钮,点击下方的CreateCommand 按钮,在\tanner EDA\T-Spice Pro\models 下找到m12_125.md 文件,点击InsertCommand 添加即可。添加完成出现如下指令:.include “C:\ProgramFiles\Tanner EDA\T-Spice Pro\models\ml2_125.md”

集成电路版图设计论文

集成电路版图设计 班级12级微电子姓名陈仁浩学号2012221105240013 摘要:介绍了集成电路版图设计的各个环节及设计过程中需注意的问题,然后将IC版图设计与PCB版图设计进行对比,分析两者的差异。最后介绍了集成电路版图设计师这一职业,加深对该行业的认识。 关键词: 集成电路版图设计 引言: 集成电路版图设计是实现集成电路制造所必不可少的设计环节,它不仅关系到集成电路的功能是否正确,而且也会极大程度地影响集成电路的性能、成本与功耗。近年来迅速发展的计算机、通信、嵌入式或便携式设备中集成电路的高性能低功耗运行都离不开集成电路掩模版图的精心设计。一个优秀的掩模版图设计者对于开发超性能的集成电路是极其关键的。 一、集成电路版图设计的过程 集成电路设计的流程:系统设计、逻辑设计、电路设计(包括:布局布线验证)、版图设计版图后仿真(加上寄生负载后检查设计是否能够正常工作)。集成电路版图设计是集成电路从电路拓扑到电路芯片的一个重要的设计过程,它需要设计者具有电路及电子元件的工作原理与工艺制造方面的基础知识,还需要设计者熟练运用绘图软件对电路进行合理的布局规划,设计出最大程度体现高性能、低功耗、低成本、能实际可靠工作的芯片版图。集成电路版图设计包括数字电路、模拟电路、标准单元、高频电路、双极型和射频集成电路等的版图设计。具体的过程为: 1、画版图之前,应与IC 工程师建立良好沟通在画版图之前,应该向电路设计者了解PAD 摆放的顺序及位置,了解版图的最终面积是多少。在电路当中,哪些功能块之间要放在比较近的位置。哪些器件需要良好的匹配。了解该芯片的电源线和地线一共有几组,每组之间各自是如何分布在版图上的? IC 工程师要求的工作进度与自己预估的进度有哪些出入? 2、全局设计:这个布局图应该和功能框图或电路图大体一致,然后根据模块的面积大小进行调整。布局设计的另一个重要的任务是焊盘的布局。焊盘的安排要便于内部信号的连接,要尽量节省芯片面积以减少制作成本。焊盘的布局还应该便于测试,特别是晶上测试。 3、分层设计:按照电路功能划分整个电路,对每个功能块进行再划分,每一个模块对应一个单元。从最小模块开始到完成整个电路的版图设计,设计者需要建立多个单元。这一步就是自上向下的设计。 4、版图的检查: (1)Design Rules Checker 运行DRC,DRC 有识别能力,能够进行复杂的识别工作,在生成最终送交的图形之前进行检查。程序就按照规则检查文件运行,发现错误时,会在错误的地方做出标记,并且做出解释。

cmos模拟集成电路设计实验报告

北京邮电大学 实验报告 实验题目:cmos模拟集成电路实验 姓名:何明枢 班级:2013211207 班内序号:19 学号:2013211007 指导老师:韩可 日期:2016 年 1 月16 日星期六

目录 实验一:共源级放大器性能分析 (1) 一、实验目的 (1) 二、实验内容 (1) 三、实验结果 (1) 四、实验结果分析 (3) 实验二:差分放大器设计 (4) 一、实验目的 (4) 二、实验要求 (4) 三、实验原理 (4) 四、实验结果 (5) 五、思考题 (6) 实验三:电流源负载差分放大器设计 (7) 一、实验目的 (7) 二、实验内容 (7) 三、差分放大器的设计方法 (7) 四、实验原理 (7) 五、实验结果 (9) 六、实验分析 (10) 实验五:共源共栅电流镜设计 (11) 一、实验目的 (11) 二、实验题目及要求 (11) 三、实验内容 (11) 四、实验原理 (11) 五、实验结果 (14) 六、电路工作状态分析 (15) 实验六:两级运算放大器设计 (17) 一、实验目的 (17) 二、实验要求 (17) 三、实验内容 (17) 四、实验原理 (21) 五、实验结果 (23) 六、思考题 (24) 七、实验结果分析 (24) 实验总结与体会 (26) 一、实验中遇到的的问题 (26) 二、实验体会 (26) 三、对课程的一些建议 (27)

实验一:共源级放大器性能分析 一、实验目的 1、掌握synopsys软件启动和电路原理图(schematic)设计输入方法; 2、掌握使用synopsys电路仿真软件custom designer对原理图进行电路特性仿真; 3、输入共源级放大器电路并对其进行DC、AC分析,绘制曲线; 4、深入理解共源级放大器的工作原理以及mos管参数的改变对放大器性能的影响 二、实验内容 1、启动synopsys,建立库及Cellview文件。 2、输入共源级放大器电路图。 3、设置仿真环境。 4、仿真并查看仿真结果,绘制曲线。 三、实验结果 1、实验电路图

模拟集成电路实验报告

CMOS放大器设计实验报告 一、实验目的 1.培养学生分析、解决问题的综合能力; 2.熟悉计算机进行集成电路辅助设计的流程; 3.学会适应cadence设计工具; 4.掌握模拟电路仿真方法 6.掌握电子电路、电子芯片底层版图设计原则和方法; 7.掌握使用计算机对电路、电子器件进行参数提取及功能模拟的过程; 8.熟悉设计验证流程和方法。 二、实验原理 单级差分放大器结构如下图所示: 在电路结构中,M2和M3组成了NMOS差分输入对,差分输入与

单端输入相比可以有效抑制共模信号干扰;M0和M1电流镜为有源负载,可将差分输入转化为单端输出;M5管提供恒定的偏置电流。三、实验要求 设计电路使得其达到以下指标: 1.供电电压: 2.输入信号:正弦差分信号 3.共模电压范围为 4.差分模值范围 5.输出信号:正弦信号 6.摆率大于 7.带宽大于 8.幅值增益: 9.相位裕度: 10.功耗: 11.工作温度: 四、差分放大器分析

1、直流分析 为了使电路正常工作,电路中的MOS管都应处于饱和状态。 1.1 M2管的饱和条件: 1.2 M4管的饱和条件: 2.小信号分析 小信号模型如下:

由图可得: 2.1 增益分析 其中 2.2 频率响应分析由小信号模型易知: 其中 3.电路参数计算3.1确定电流 根据摆率指标:

根据功耗指标易知: 根据带宽指标: 综上,取: 3.2宽长比的确定 M4与M5:电流源提供的电流为,参数设为,根据电流镜原理,可以算出 M2与M3: 带入数据可得 取值为20,则取 M0与M1:这两个PMOS管对交流性能影响不大,只要使其下方的

集成电路版图设计笔试面试大全

集成电路版图设计笔试面试大全 1. calibre语句 2. 对电路是否了解。似乎这个非常关心。 3. 使用的工具。 , 熟练应用UNIX操作系统和L_edit,Calibre, Cadence, Virtuoso, Dracula 拽可乐(DIVA),等软件进行IC版图 绘制和DRC,LVS,ERC等后端验证 4. 做过哪些模块 其中主要负责的有Amplifier,Comparator,CPM,Bandgap,Accurate reference,Oscillator,Integrated Power MOS,LDO blocks 和Pad,ESD cells以及top的整体布局连接 5. 是否用过双阱工艺。 工艺流程见版图资料 在高阻衬底上同时形成较高的杂质浓度的P阱和N阱,NMOS、PMOS分别做在这两个阱中,这样可以独立调节两种沟道MOS管的参数,使CMOS电路达到最优特性,且两种器件间距离也因采用独立的阱而减小,以适合于高密度集成,但是工艺较复杂。 制作MOS管时,若采用离子注入,需要淀积Si3N4,SiO2不能阻挡离子注入,进行调沟或调节开启电压时,都可以用SiO2层进行注入。 双阱CMOS采用原始材料是在P+衬底(低电阻率)上外延一层轻掺杂的外延层P-(高电阻率)防止latch-up效应(因为低电阻率的衬底可以收集衬底电流)。 N阱、P阱之间无space。

6. 你认为如何能做好一个版图,或者做一个好版图需要注意些什么需要很仔细的回答~答:一,对于任何成功的模拟版图设计来说,都必须仔细地注意版图设计的floorplan,一般floorplan 由设计和应用工程师给出,但也应该考虑到版图工程师的布线问题,加以讨论调整。总体原则是 模拟电路应该以模拟信号对噪声的敏感度来分类。例如,低电平信号节点或高阻抗节点,它们与输入信号典型相关,因此认为它们对噪声的敏感度很高。这些敏感信号应被紧密地屏蔽保护起来,尤其是与数字输出缓冲器隔离。高摆幅的模拟电路,例如比较器和输出缓冲放大器应放置在敏感模拟电路和数字电路之间。数字电路应以速度和功能来分类。显而易见,因为数字输出缓冲器通常在高速时驱动电容负载,所以应使它离敏感模拟信号最远。其次,速度较低的逻辑电路位于敏感模拟电路和缓冲输出之间。注意到敏感模拟电路是尽可能远离数字缓冲输出,并且最不敏感的模拟电路与噪声最小的数字电路邻近。 芯片布局时具体需考虑的问题,如在进行系统整体版图布局时,要充分考虑模块之间的走线,避免时钟信号线对单元以及内部信号的干扰。模块间摆放时要配合压焊点的分布,另外对时钟布线要充分考虑时延,不同的时钟信号布线应尽量一致,以保证时钟之间的同步性问题。而信号的走线要完全对称以克服外界干扰。 二(电源线和地线的布局问题

电子电工综合实验报告

电工电子综合试验——数字计时器实验报告 学号: 姓名: 学院: 专业:通信工程

目录 一,实验目的及要求 二,设计容简介 四,电路工作原理简述 三,设计电路总体原理框图五,各单元电路原理及逻辑设计 1. 脉冲发生电路 2. 计时电路和显示电路 3. 报时电路 4. 较分电路 六引脚图及真值表

七收获体会及建议 八设计参考资料 一,实验目的及要求 1,掌握常见集成电路实现单元电路的设计过程。 2,了解各单元再次组合新单元的方法。 3,应用所学知识设计可以实现00’00”—59’59”的可整点报时的数字计时器 二,设计容简介: 1,设计实现信号源的单元电路。( KHz F Hz F Hz F Hz F1 4 , 500 3 , 2 2 , 1 1≈ ≈ ≈ ≈ ) 2,设计实现00’00”—59’59”计时器单元电路。 3,设计实现快速校分单元电路。含防抖动电路(开关k1,频率F2,校分时秒计时器停止)。4,加入任意时刻复位单元电路(开关K2)。 5,设计实现整点报时单元电路(产生59’53”,59’55”,59’57”,三低音频率F3,59’59”一高音频率F4)。 三,设计电路总体原理框图 设计框图: 四,电路工作原理简述 电路由振荡器电路、分频器、计数器、译码器、显示器、校时电路和报时电路组成。振荡器产生的脉冲信号经过十二级分频器作为秒脉冲,秒脉冲送入计数器,计数器通过“时”、“分”、“秒”译码器显示时间,将分秒计时器分开,加入快速校分电路与防抖动电路,并控制秒计

时器停止工作。较分电路实现对“分”上数值的控制,而不受秒十位是否进位的影响,在60进制控制上加入任意时刻复位电路。报时电路通过1kHz或2kHz的信号和要报时的时间信号进行“与”的运算来实现的顶点报时的,通过两个不同频率的脉冲信号使得在不同的时间发出不同的声响。 五,各单元电路原理及逻辑设计 (1)脉冲发生电路 脉冲信号发生电路是危机时期提供技术脉冲,此次实验要求产生1HZ的脉冲信号。用NE555集成电路和CD4040构成。555定时器用来构成多谐振荡器,CD4040产生几种频率为后面电路使用。 实验电路如下(自激多谐振荡电路,周期矩形波发生电路) 震荡周期T=0.695(R1+2*R2)C,其中R1=1KΩ,R2=3KΩ,C=0.047uf,计算T=228.67*10-6 s ,f=4373.4Hz产生的脉冲频率为4KHz,脉冲信号发生电路 和CD4040连接成如图所示的电路,则从Q12输出端可以得到212分频信号F1,即1Hz的信号,Q11可以得到F2即2Hz的信号提供给D触发器CP和校分信号,Q3输出分频信号500Hz,Q2输出1KHz提供给报时电路 二,秒计时电路 应用CD4518及74LS00可以设计该电路,CD4518是异步清零,所以在进行分和秒十位计数的时候,需要进行清零,而在个位计数的时候不需要清零。所以Cr2=2QcQb,Cr4=4Qc4QB。当秒个位为1001时,秒十位要实现进位,此时需要EN2=1Qd,同理分的个位时钟EN3=2Qc,分十位时钟端EN4=3Qd。因此,六十进制计数器逻辑电路如下图所示

集成电路版图设计调查报告

关于IC集成电路版图设计的调查报告 IC版图设计是指将前端设计产生的门级网表通过EDA设计工具进行布局布线和进行物理验证并最终产生供制造用的GDSII数据的过程,简单来说,是将所设计的电路转化为图形描述格式,即设计工艺中所需要的各种掩模板,而掩模板上的几何图形包括如下几层:n阱、有源区、多晶硅、n+和p+注入、接触孔以及金属层。 一. 版图设计流程 集成电路从60年代开始,经历了小规模集成,中规模集成,大规模集成,到目前的超大规模集成。单个芯片上已经可以制作含几百万个晶体管的一个完整的数字系统或数模混合的电子系统。在整个设计过程中,版图(layout)设计或者称作物理设计(physical design)是其中重要的一环。他是把每个原件的电路表示转换成集合表示,同时,元件间连接的线网也被转换成几何连线图形。概括说来,对于复杂的版图设计,一般分成若干个子步骤进行: 1.模块划分。为了将处理问题的规模缩小,通常把整个电路划分成若干个模块。版图规划和布局是为了每个模块和整个芯片选择一个好的布图方案。 2.布局布线。布局图应该和功能框图或者电路图大体一致,然后根据各个模块的面积大小进行调整,接着完成模块间的互连,并进一步优化布线结果。 3.版图压缩。压缩是布线完成后的优化处理过程,试图进一步减小芯片的占用面积。 4.版图检查。版图检查主要包括三个部分:1. Design Rules Checker(DR C)。DRC有识别能力,能够进行复杂的识别工作,在生成最终送交的图形之前进行检查,程序就会按照规则检查文件运行,发现错误时,会在错误的地方做出标记与解释。2. Electrical Rules Checker(ERC),它是用来检查线路短路,线路开路以及floating结点。ERC检查短路错误后,会将错误提示局限在最短的连接通路上。3. Layout Versus Schematic(LVS),LVS比较IC版图和原理图,报告版图连接和原理图的不一致,并进行修改直到版图与电路图完全一致为止。 5.版图修改。此时的工作主要包括检查Label是否正确,label所选的lay er是否正确;Power & Ground连接是否有问题,得到的files是否确实可靠,检查netlist中器件类型的命名是否规范等。

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

集成电路版图技巧总结

集成电路版图技巧总结 1、对敏感线的处理对敏感线来说,至少要做到的是在它的走线过程中尽量没有其他走线和它交叉。因为走线上的信号必然会带来噪声,交错纠缠的走线会影响敏感线的信号。 对于要求比较高的敏感线,则需要做屏蔽。具体的方法是,在它的上下左右都连金属线,这些线接地。比如我用M3做敏感线,则上下用M2和M4重叠一层,左右用M3走,这些线均接地。等于把它像电缆一样包起来。 2、匹配问题的解决电路中如果需要匹配,则要考虑对称性问题。比如1:8的匹配,则可以做成33的矩阵,“1”的放在正中间,“8”的放在四周。这样就是中心对称。如果是2:5的匹配,则可以安排成AABABAA的矩阵。 需要匹配和对称的电路器件,摆放方向必须一致。周围环境尽量一致。 3、噪声问题的处理噪声问题处理的最常用方法是在器件周围加保护环。N mos管子做在衬底上因此周围的guardring是Pdiff,在版图上是一层PPLUS,上面加一层DIFF,用CONTACT连M1。Pdiff接低电位。Pmos管子做在NWELL里面因此周围的GUARDING是Ndiff,在版图上先一层NPLUS,上面加一层DIFF,用CONTACT连M1。Ndiff接高电位。在一个模块周围为了和其他模块隔离加的保护环,用一圈NWELL,里面加NDIFF,接高电位。

电阻看类型而定,做在P衬底上的周围接PDIFF型guarding接地;做在NWELL里面的则周围接NDIFF型guarding接高电位。各种器件,包括管子,电容,电感,电阻都要接体电位。如果不是RF型的MOS管,则一般尽量一排N管一排P管排列,每排或者一堆靠近的同类型管子做一圈GUARDING,在P管和N管之间有走线不方便打孔的可以空出来不打。 4、版图对称性当电路需要对称的时候,需要从走线复杂度,面积等方面综合考虑。常见的对称实现方式: 一般的,画好一半,折到另一半去,复制实现两边的对称。 如果对称性要求高的,可以用质心对称的方式,把管子拆分成两个,四个甚至更多。 如把一个管子拆成两个可以AB BA的方式如果有四个管子,可以各拆成三个,用ABCDABCDABCD的方式五、布局布线布局布线是一个全局问题。在画较大的电路时候是很重要的。首先确定各模块的位置,在确定位置的时候需要考虑的问题主要有:各输入输出之间的连线最短,最方便;各模块接出去连PAD的各端口方便;高频线距离尽量短;输入输出之间相隔比较远等。这些问题需要在着手画各模块之前先有个安排。在画好各模块后摆放时会做调整,但大局不变。连线一般的规则是单数层金属和双数层金属垂直,比如一三五层连水平;二四六层连垂直。但这样的主要目的是各层能方便走线,排得密集。所以也不是死规则,在布线较稀疏的情况下可以做适量变通。在布线时最重要的问题

CMOS数字集成电路设计_八位加法器实验报告

CMOS数字集成电路设计课程设计报告 学院:****** 专业:****** 班级:****** 姓名:Wang Ke qin 指导老师:****** 学号:****** 日期:2012-5-30

目录 一、设计要求 (1) 二、设计思路 (1) 三、电路设计与验证 (2) (一)1位全加器的电路设计与验证 (2) 1)原理图设计 (2) 2)生成符号图 (2) 3)建立测试激励源 (2) 4)测试电路 (3) 5)波形仿真 (4) (二)4位全加器的电路设计与验证 (4) 1)原理图设计 (4) 2)生成符号图 (5) 3)建立测试激励源 (5) 4)测试电路 (6) 5)波形仿真 (6) (三)8位全加器的电路设计与验证 (7) 1)原理图设计 (7) 2)生成符号图 (7) 3)测试激励源 (8) 4)测试电路 (8) 5)波形仿真 (9) 6)电路参数 (11) 四、版图设计与验证 (13) (一)1位全加器的版图设计与验证 (13) 1)1位全加器的版图设计 (13) 2)1位全加器的DRC规则验证 (14) 3)1位全加器的LVS验证 (14) 4)错误及解决办法 (14) (二)4位全加器的版图设计与验证 (15) 1)4位全加器的版图设计 (15) 2)4位全加器的DRC规则验证 (16) 3)4位全加器的LVS验证 (16) 4)错误及解决办法 (16) (三)8位全加器的版图设计与验证 (17) 1)8位全加器的版图设计 (17) 2)8位全加器的DRC规则验证 (17) 3)8位全加器的LVS验证 (18) 4)错误及解决办法 (18) 五、设计总结 (18)

集成电路综合设计实习报告

集成电路设计 综合实验报告 学院:电气与控制工程学院 班级:微电子1001 姓名:*** 学号:10060801**

1、培养从版图提取电路的能力 2、学习版图设计的方法和技巧 3、复习和巩固基本的数字单元电路设计 4、学习并掌握集成电路设计流程 二、实验内容 1. 反向提取给定电路模块(如下图1所示),要求画出电路原理图,分析出 其所完成的逻辑功能,并进行仿真验证;再画出该电路的版图,完成DRC 验证。 2. 设计一个CMOS结构的二选一选择器。 (1)根据二选一选择器功能,分析其逻辑关系。 (2)根据其逻辑关系,构建CMOS结构的电路图。 (3)利用EDA工具画出其相应版图。 (4)利用几何设计规则文件进行在线DRC验证并修改版图。

通过反复对比版图可以提取出如下电路原理图 再分析可得到门级电路图 进行仿真,波形如下

功能分析 通过如上分析可知,该电路的功能是一个带使能端的D锁存器:A端为CLK输入端,低电平有效,B端为D信号端,C端为使能端,高电平有效,Y端为输出端。 再设计优化版图如下 MUX21设计 1.电路原理图如下

2.版图设计

3.仿真波形 四、心得体会 经过前几次的实习,我已经能很熟练的使用终端命令了,对于cadence的使用也更加熟练,大量快捷键的使用帮了我很大忙。这一次的反向提取还是很麻烦的,摸索了很长时间后,我们给栅加编号,从上到下,从左到右。然后分析两侧的源漏端,最后分析铜线连接,不断对比得到最后的电路原理图。版图的设计还是比较容易的,因为我们是对比原来的版图画的,但是在版图的绘制过程之中还是要细心,注意工艺的最小线宽或者最小的距离的要求。由于刚开始没注意,我们又反复调整了很多次 通过这一次的实验,让我基本掌握了Cadence软件的使用,原理图的绘制及仿真;版图绘制的基本步骤,在绘制过程中应该注意的工艺要求,以及DRC验证的方法。

集成电路版图设计-反相器-传输门

集成电路版图设计 实验报告 学院:电气与控制工程学院班级: XXXXXXXXXX 学号:XXXXXXXX 姓名:XXXX 完成日期:2015年1月22日

一、实验要求 1、掌握Linux常用命令(cd、ls、pwd等)。 (1)cd命令。用于切换子目录。输入cd并在后面跟一个路径名,就可以直接进入到另一个子目录中;cd..返回根目录;cd返回主目录。(2)ls命令。用于列出当前子目录下所有内容清单。 (3)pwd命令。用于显示当前所在位置。 2、掌握集成电路设计流程。 模拟集成电路设计的一般过程: (1)电路设计。依据电路功能完成电路的设计。 (2)前仿真。电路功能的仿真,包括功耗,电流,电压,温度,压摆幅,输入输出特性等参数的仿真。 (3)版图设计(Layout)。依据所设计的电路画版图。一般使用Cadence软件。 (4)后仿真。对所画的版图进行仿真,并与前仿真比较,若达不到要求需修改或重新设计版图。 (5)后续处理。将版图文件生成GDSII文件交予Foundry流片。 3、掌握Cadence软件的使用 (1)使用Cadence SchematicEditor绘制原理图。 (2)由Schematic产生symbol。 (3)在测试电路中使用AnalogEnvironment工具进行功能测试。 (4)使用Cadence Layout Editor根据原理图绘制相应版图,以

0.6umCMOS设计规则为准。 (5)对所设计的版图进行DRC验证,查错并修改。 以PMOS为例,部分设计规则如下:(um) N-Well包含P+Active的宽度:1.8 MOS管沟道最小宽度:0.75最小长度:0.6 Active区伸出栅极Ploy的最小延伸长度:0.5 Contact最小尺寸:0.6*0.6 Contact与Contact之间的最小间距:0.7 Active包最小尺寸Contact的最小宽度:0.4 非最小尺寸Contac t的最小宽度:0.6 Active上的Contact距栅极Poly1的最小距离:0.6 Metal1包最小尺寸的Contact:0.3 Metal1与Metal1之间的最小间距:0.8

相关文档
相关文档 最新文档